您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. VHDL硬件描述语言

  2. 全面地介绍了VHDL硬件描述语言的基本知识和利用VHDL进行数字电路系统设计的方法。全书共分13章:第1-6章主要介绍VHDL语言的基本语法知识;第7-9章介绍利用VHDL设计组合逻辑电路和时序逻辑电路(包括状态机)的基本方法;第10、11章简单扼要地介绍了VHDL设计中的仿真和综合的内容;第12章介绍ALTERA公司的MAX+PLUSII开发工具的使用;第13章给出了3个VHDL层次性设计的实例,以进一步提高读者学习和使 VHDL的能力。本书注重基础知识的介绍,力求向读者系统地讲解VHDL硬
  3. 所属分类:嵌入式

    • 发布日期:2009-06-06
    • 文件大小:7340032
    • 提供者:chenfengde
  1. Verilog HDL硬件描述语言.rar

  2. 目 录 译者序 前言 第1章 简介 1 1.1 什么是Verilog HDL? 1 1.2 历史 1 1.3 主要能力 1 第2章 HDL指南 4 2.1 模块 4 2.2 时延 5 2.3 数据流描述方式 5 2.4 行为描述方式 6 2.5 结构化描述形式 8 2.6 混合设计描述方式 9 2.7 设计模拟 10 第3章 Verilog语言要素 14 3.1 标识符 14 3.2 注释 14 3.3 格式 14 3.4 系统任务和函数 1 5 3.5 编译指令 15 3.5.1 `defi
  3. 所属分类:C++

    • 发布日期:2009-09-15
    • 文件大小:3145728
    • 提供者:wsedwsed
  1. 用VHDL语言设计组合逻辑电路

  2. 实验4:用VHDL语言设计组合逻辑电路(熟悉用VHDL语言设计4位全加器的方法。首先创建一个1位全加器实体,然后例化此1位全加器4次,创建一个更高层次的4位加法器。1位全加器的VHDL语言描述见例4-45,4位加法器的VHDL语言程序如例4-46,P161-162。)
  3. 所属分类:专业指导

    • 发布日期:2010-04-03
    • 文件大小:1048576
    • 提供者:vb123jia
  1. VHDL实际应用——数字电路系统设计

  2. 第一章介绍 了 VHDL的一些常识性知识,VHDL语言的 基本知识 ,VHDL的设计模式。第二至五章是实际数字电路的VHDL设计(顺便说一句FPGA一般用来做数字电路的),一一做下去,VHDL上手很快。第二章设计组合电路,第三章设计时序电路,第四章用电路图法设计(与VHDL无关,只是为了解VHDL设计而加的一章)第五章:资源调用与特色电路(自己看)第六章:数字系统设计————例如有数字钟表,密码锁等
  3. 所属分类:其它

    • 发布日期:2010-07-17
    • 文件大小:3145728
    • 提供者:fangyang1991
  1. 用VHDL语言来写电子密码锁设程序

  2. 要求设计的电子密码锁密码为4位,由三大部分组成,每一部分又包含了若干子电路,将各 电路组合起来,就构成了一个整体
  3. 所属分类:专业指导

    • 发布日期:2010-07-24
    • 文件大小:105472
    • 提供者:mengxinhong
  1. EDA ( MAX+plus II软件)实验报告

  2. VHDL语言 描述状态机电路 调用Max+PlusII中的lpm库元件设计电路 组合电路 VHDL语言
  3. 所属分类:专业指导

    • 发布日期:2010-09-18
    • 文件大小:4194304
    • 提供者:hanzj123
  1. EDA实验 作业 课程设计,用原理图输入法设计一位全加器,计数器(74160)和译码器(7448),顶层用原理图设计,用混合输入及层次化设计,VHDL语言的组合电路设计,0--9可逆计数器输出的是8421BCD码,交通灯,数字钟

  2. 用原理图输入法设计一位全加器,计数器(74160)和译码器(7448),顶层用原理图设计,用混合输入及层次化设计,VHDL语言的组合电路设计,0--9可逆计数器输出的是8421BCD码,交通灯,数字钟的VHDL语言设计
  3. 所属分类:交通

    • 发布日期:2010-11-11
    • 文件大小:2097152
    • 提供者:ssolriu
  1. 基于VHDL的多功能数字钟设计

  2. 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,已得到广泛的使用。数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑和时序电路。
  3. 所属分类:专业指导

    • 发布日期:2010-11-29
    • 文件大小:493568
    • 提供者:hxwangyoucao
  1. EDA出租车计费器课程设设计

  2. EDA出租车计费器课程设设计出租车计费器课程设计实验报告 一 、设计目的 全面熟悉、掌握VHDL语言基本知识,掌握利用VHDL语言对常用的的组合逻辑电路和时序逻辑电路编程,把编程和实际结合起来,熟悉编制和调试程序的技巧,掌握分析结果的若干有效方法,进一步提高上机动手能力,培养使用设计综合电路的能力,养成提供文档资料的习惯和规范编程的思想。 二、设计要求 1、设计正确,方案合理。 2、界面友好,使用方便。 3、程序精炼,结构清晰。 三、进度安排 第九周 星期二: 课题讲解,查阅资料   星期二:
  3. 所属分类:软件测试

    • 发布日期:2011-06-03
    • 文件大小:2097152
    • 提供者:a199001180
  1. VHDL硬件描述语言

  2. 本书全面地介绍了VHDL硬件描述语言的基本知识和利用VHDL进行数字电路系统设计的方法。全书共分13章:第1-6章主要介绍VHDL语言的基本语法知识;第7-9章介绍利用VHDL设计组合逻辑电路和时序逻辑电路的基本方法;第10、11章简单扼要地介绍了VHDL设计中的仿真和综合的内容;第12章介绍ALtera公司的MAX+PLUSII开发工具的使用;第13章给出了3个VHDL层次性设计的实例,以进一步提高读者学习和使用VHDL的能力。 本书注重基础知识的介绍,力求向读者系统地讲解VHDL硬件描述语
  3. 所属分类:专业指导

    • 发布日期:2011-09-07
    • 文件大小:8388608
    • 提供者:tianlongd9
  1. EDA实验报告二

  2. 实验二 简单组合电路的设计 一、实验目的: 熟悉QuartusII VHDL文本设计流程全过程。学习简单组合电路的设计、多层次电路设计、仿真和硬件测试。 二、实验原理 VHDL硬件描述语言是一种可以从多个层次上对数字逻辑电路进行建模的国际标准(IEEE),本次实验是用VHDL设计一个简单的数字组合逻辑电路,并结合QuartusII环境和实验电路进行硬件测试。
  3. 所属分类:专业指导

    • 发布日期:2011-12-14
    • 文件大小:123904
    • 提供者:wiinggaf
  1. Verilog HDL 硬件描述语言(实用版)

  2. Verilog HDL 硬件描述语言 目 录 译者序 前言 第1章 简介 1 1.1 什么是Verilog HDL? 1 1.2 历史 1 1.3 主要能力 1 第2章 HDL指南 4 2.1 模块 4 2.2 时延 5 2.3 数据流描述方式 5 2.4 行为描述方式 6 2.5 结构化描述形式 8 2.6 混合设计描述方式 9 2.7 设计模拟 10 第3章 Verilog语言要素 14 3.1 标识符 14 3.2 注释 14 3.3 格式 14 3.4 系统任务和函数 15 3.5 编
  3. 所属分类:硬件开发

    • 发布日期:2013-07-02
    • 文件大小:4194304
    • 提供者:shappy97
  1. VHDL:设计表示和综合

  2. 内容提要: 第一章 设计抽象与表示方法 设计挑战,设计表示与硬件描述语言,设计层次与特征,设计流程,系统芯片设计概念,电子设计自动化工具。 第二章 数字逻辑器件基础 常用通用逻辑器件,可编程阵列逻辑,通用阵列逻辑,CPLD,FPGA。 第三章 硬件的VHDL模型 设计实体,设计描述类型,综合与仿真建模,数据对象及其类型,多值逻辑与裁决。 第四章 组合和同步逻辑设计 组合逻辑电路设计,同步逻辑电路设计,LFSR计数器设计,基于FPGA的高速FIFO缓冲器设计。 第五章 控制逻辑有限状态机设计 M
  3. 所属分类:硬件开发

    • 发布日期:2013-09-10
    • 文件大小:19922944
    • 提供者:jingqiang13145
  1. VHDL编程基础和ISE介绍

  2. 数字电路设计VHDL编程语言ppt入门版教程,内容覆盖较广,内含各种组合逻辑门,加法器,计数器等的实例,共计127页
  3. 所属分类:专业指导

    • 发布日期:2013-09-21
    • 文件大小:2097152
    • 提供者:guqihang1993
  1. vhdl教程 挺好的资源

  2. vhdl学习资料,大家喜欢就看看吧VHDL培训教程 第一讲、VHDL简介及其结构 第二讲、VHDL中的对象、操作符、数据类型 第三讲、VHDL中的控制语句及模块 第四讲、状态机的设计VHDL培训教程 欢迎参加VHDL培训 浙江大学电子信息技术研究所 电子设计自动化(EDA)培训中心 编写:王勇 TEL:7951949或7951712 EMAIL:wangy@isee.zju.edu.cn第一讲、VHDL简介及其结构 • 通过本课的学习您可以了解以下几点 1、VHDL 的基本概念 2、VHDL的
  3. 所属分类:C

    • 发布日期:2008-10-27
    • 文件大小:490496
    • 提供者:ylw51100
  1. VHDL组合逻辑电路设计

  2. 重点介绍利用VHDL语言设计基本组合逻辑模块的方法。
  3. 所属分类:硬件开发

    • 发布日期:2016-01-09
    • 文件大小:228352
    • 提供者:qq_33690633
  1. VHDL硬件描述语言基础

  2. 简介 基本结构 基本数据类型 设计组合电路 设计时序电路 设计状态机 大规模电路的层次化设计 Function and Procedure
  3. 所属分类:硬件开发

    • 发布日期:2018-01-07
    • 文件大小:415744
    • 提供者:drjiachen
  1. 我们学校的FPGA的课件

  2. 师资培训FPGA授课提纲 一、 概述(1天) 1. 设计成果展示(引题) 2. FPGA/CPLD开发的优势 3. 三大逻辑厂商主要器件、开发平台 4. 5种硬件描述语言 5. SOPC设计概述 二、 开发步骤(1天) 1. 软件设计 a环境建立设置 b文本设计流程 c原理图设计流程 2. 硬件设计 a利用实验室平台 b自制设备 3.测井信号分离卡开发实例 三、 VHDL语言(10天) 1. 基本结构 2. 实体设计注意点 3. VHDL语言的对象和数据类型 4. VHDL语言中的顺序语句 5
  3. 所属分类:硬件开发

    • 发布日期:2009-04-06
    • 文件大小:13631488
    • 提供者:gazer_yuol
  1. 数字电路EDA入门-VHDL程序实例集

  2. VHDL程序实例集:用VHDL设计的基本组合电路和时序电路以及VHDL语言的基本知识!
  3. 所属分类:专业指导

    • 发布日期:2009-04-08
    • 文件大小:2097152
    • 提供者:wangyan238885
  1. VHDL设计:逻辑综合的原则以及可综合的代码设计风格

  2. 本文主要介绍的是always块语言指导原则时序,可综合风格的Verilog HDL模块实例,组合逻辑电路设计实例。
  3. 所属分类:其它

    • 发布日期:2020-08-09
    • 文件大小:88064
    • 提供者:weixin_38571603
« 12 3 »