您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 数字信号处理、计算、程序、

  2. 第一章数字信号处理、计算、程序、算法和硬线逻辑的基本概念 第二章 Verilog HDL 设计方法概述 第五章 基本运算逻辑和它们的VerilogHDL 模型
  3. 所属分类:其它

    • 发布日期:2009-04-28
    • 文件大小:1048576
    • 提供者:xipengfei
  1. H.264解码器verilog源代码

  2. H.264标准解码器全部verilog源码,包括帧内、帧间、变换编码、熵编码、滤波等所有模块
  3. 所属分类:其它

    • 发布日期:2009-05-03
    • 文件大小:827392
    • 提供者:okokoklclclc
  1. Verilog HDL硬件描述语言.rar

  2. www.bestlinux.cn西安万达嵌入式 目 录 译者序 前言 第1章 简介 1 1.1 什么是Verilog HDL? 1 1.2 历史 1 1.3 主要能力 1 第2章 HDL指南 4 2.1 模块 4 2.2 时延 5 2.3 数据流描述方式 5 2.4 行为描述方式 6 2.5 结构化描述形式 8 2.6 混合设计描述方式 9 2.7 设计模拟 10 第3章 Verilog语言要素 14 3.1 标识符 14 3.2 注释 14 3.3 格式 14 3.4 系统任务和函数 15
  3. 所属分类:C++

    • 发布日期:2009-05-06
    • 文件大小:4194304
    • 提供者:qiang215510171
  1. Verilog HDL硬件描述语言.pdf

  2. Verilog HDL是一种硬件描述语言,用于从算法级、门级到开关级的多种抽象设计层次的 数字系统建模。被建模的数字系统对象的复杂性可以介于简单的门和完整的电子数字系统之 间。数字系统能够按层次描述,并可在相同描述中显式地进行时序建模。
  3. 所属分类:其它

    • 发布日期:2009-05-07
    • 文件大小:4194304
    • 提供者:wuchengbai
  1. Verilog教程(PDF格式)

  2. 目 录 译者序 前言 第1章 简介 1 1.1 什么是Verilog HDL? 1 1.2 历史 1 1.3 主要能力 1 第2章 HDL指南 4 2.1 模块 4 2.2 时延 5 2.3 数据流描述方式 5 2.4 行为描述方式 6 2.5 结构化描述形式 8 2.6 混合设计描述方式 9 2.7 设计模拟 10 第3章 Verilog语言要素 14 3.1 标识符 14 3.2 注释 14 3.3 格式 14 3.4 系统任务和函数 1 5 3.5 编译指令 15 3.5.1 `defi
  3. 所属分类:C++

    • 发布日期:2009-05-11
    • 文件大小:3145728
    • 提供者:xiongyanping
  1. Verilog HDL简明教程.doc

  2. Verilog HDL语言最初是于1983年由Gateway Design Automation公司为其模拟器产品开发的硬件建模语言。那时它只是一种专用语言。由于他们的模拟、仿真器产品的广泛使用,Verilog HDL 作为一种便于使用且实用的语言逐渐为众多设计者所接受。在一次努力增加语言普及性的活动中,Verilog HDL语言于1990年被推向公众领域。 Open Verilog International (OVI)是促进Verilog发展的国际性组织。1992年, OVI决定致力于推广
  3. 所属分类:嵌入式

    • 发布日期:2009-05-13
    • 文件大小:268288
    • 提供者:hometownjlu
  1. Verilog典型电路设计 华为

  2. 主要讲述触发器、锁存器、多路选通器、编码器、解码器、饱和/非饱和计数器、FSM等常用电路设计
  3. 所属分类:专业指导

    • 发布日期:2009-05-23
    • 文件大小:317440
    • 提供者:xiaohehe79
  1. verilog 简明教程

  2. 对verilog初学者很有用。 Verilog HDL 是一种硬件描述语言,用于从算法级、门级到开关级的多种抽象设计层次的数 字系统建模。被建模的数字系统对象的复杂性可以介于简单的门和完整的电子数字系统之 间。数字系统能够按层次描述,并可在相同描述中显式地进行时序建模。 Verilog HDL 语言具有下述描述能力:设计的行为特性、设计的数据流特性、设计的结 构组成以及包含响应监控和设计验证方面的时延和波形产生机制。所有这些都使用同一种建 模语言。此外,Verilog HDL 语言提供了编程语
  3. 所属分类:嵌入式

    • 发布日期:2009-06-12
    • 文件大小:328704
    • 提供者:jiang_shuguo
  1. 夏宇闻verilog讲稿ppt

  2. 夏宇闻verilog讲稿 6个ppt分为入门讲解、HDL讲解、示例讲解、2个语法讲解、语法进阶讲解。
  3. 所属分类:专业指导

    • 发布日期:2009-06-23
    • 文件大小:514048
    • 提供者:perfectzhi
  1. verilog数字电路课程设计王金明

  2. verilog数字电路课程设计王金明,很经典的书,常见的设计在里面都找的到啊
  3. 所属分类:专业指导

    • 发布日期:2010-03-02
    • 文件大小:10485760
    • 提供者:zhizhuozhu
  1. verilog基本实验程序

  2. 37个verilog基本程序,包括数码管、流水灯、译码器、时钟等等
  3. 所属分类:其它

    • 发布日期:2011-05-08
    • 文件大小:466944
    • 提供者:luodingzai
  1. Verilog与VHDL语言编程

  2. 该资源包括对FPAG的介绍及其编程语言的详解,还包含有编程实例,如键盘、指示灯、液晶等。
  3. 所属分类:嵌入式

    • 发布日期:2011-11-16
    • 文件大小:7340032
    • 提供者:jjlijj
  1. Verilog数字系统设计教程

  2. 《Verilog数字系统设计教程》很系统很基础的讲述了有关FPGA的编程问题
  3. 所属分类:专业指导

    • 发布日期:2012-02-24
    • 文件大小:45088768
    • 提供者:sdningchen
  1. Verilog--led

  2. verilog 实现点灯
  3. 所属分类:硬件开发

    • 发布日期:2014-10-24
    • 文件大小:2097152
    • 提供者:qq_22495749
  1. Verilog驱动DS18B20

  2. 此代码是Verilog驱动DS18B20的代码,大家可以参考下
  3. 所属分类:硬件开发

    • 发布日期:2015-05-06
    • 文件大小:6144
    • 提供者:qq_21196867
  1. AES加密算法modelsim仿真-Verilog语言

  2. 这里面包括了AES加密算法使用ModelSim仿真软件和Verilog语言完成的功能模块代码和测试模块代码
  3. 所属分类:其它

    • 发布日期:2017-06-12
    • 文件大小:8192
    • 提供者:pjxhzwb
  1. Verilog+HDL

  2. Verilog、HDL两种嵌入式系统软件开发语言,相信大家看了后会有很大收获的。
  3. 所属分类:硬件开发

    • 发布日期:2009-01-07
    • 文件大小:1048576
    • 提供者:yxf224
  1. 最全Verilog、SystemVerilog IEEE标准

  2. verilog:1995、2001、2005;SystemVerilog:2005、2009 很有价值的编码参考
  3. 所属分类:硬件开发

    • 发布日期:2018-04-15
    • 文件大小:16777216
    • 提供者:yanshi3200
  1. norflash存储S29gl128p和S29gl256p的verilog、vhdl仿真模型

  2. 资源包括了两款norflash存储S29gl128p和S29gl256p的官方verilog和vhdl仿真模型,压缩包解压为exe压缩文件,直接运行解压即可得到相应的文件。
  3. 所属分类:嵌入式

    • 发布日期:2020-04-26
    • 文件大小:634880
    • 提供者:baidu_32639021
  1. FSK调制解调的matlab、Verilog、VHDL代码

  2. 这是FSK二进制频移键控的仿真和FPGA实现的代码,用matlab做了连续、非连续fsk的仿真,用quartus进行verilog和VHDL实现,最后用modelsim进行仿真。
  3. 所属分类:电信

    • 发布日期:2021-03-19
    • 文件大小:38797312
    • 提供者:dovings
« 12 3 4 5 6 7 8 9 10 ... 50 »