您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 数字设计 VHDL adder 加法器

  2. 数字设计 VHDL adder 加法器 自己测试 完全有用 VHDL编写
  3. 所属分类:其它

    • 发布日期:2009-11-09
    • 文件大小:34816
    • 提供者:lekko111
  1. 4 bit carry lookahead adder

  2. This is a verilog code.It's function is 4 bit carry lookahead adder.
  3. 所属分类:嵌入式

    • 发布日期:2011-04-13
    • 文件大小:1024
    • 提供者:lihejun3
  1. 16 bit carry lookahead adder

  2. This is a verilog code.It's function is 16 bit carry lookahead adder.
  3. 所属分类:嵌入式

    • 发布日期:2011-04-13
    • 文件大小:2048
    • 提供者:lihejun3
  1. Binary Adder Architectures for Cell-Based VLSl and their synthesis

  2. Binary Adder Architectures for Cell-Based VLSl and their synthesis 关于二进制加法VLSI设计实现的博士论文
  3. 所属分类:硬件开发

    • 发布日期:2011-12-16
    • 文件大小:1048576
    • 提供者:qhx19870506
  1. A 16-bit Carry Skip Adder Designed by Reversible Logic

  2. A 16-bit Carry Skip Adder Designed by Reversible Logic
  3. 所属分类:嵌入式

    • 发布日期:2013-09-17
    • 文件大小:479232
    • 提供者:u012142943
  1. Adder Design

  2. This is a comprehesive note on adder design!
  3. 所属分类:电子商务

    • 发布日期:2014-02-01
    • 文件大小:39936
    • 提供者:tanxx393
  1. adder source code VHDL

  2. adder source code which is helpful in FPGA Design and Verification
  3. 所属分类:其它

    • 发布日期:2014-10-03
    • 文件大小:931
    • 提供者:shobhit
  1. full adder vhdl code

  2. Full Adder code very useful for development and anyone can use that in any project
  3. 所属分类:其它

    • 发布日期:2014-10-03
    • 文件大小:464
    • 提供者:shobhit
  1. Carry-lookahead Adder in Verilog

  2. verilog写的超前进位加法器(Carry-lookahead Adder)。
  3. 所属分类:嵌入式

    • 发布日期:2018-02-14
    • 文件大小:318464
    • 提供者:weixin_41737451
  1. Verilog HDL 入门实例(含 ADC、FIFO、ADDER、MULTIPLIER等)

  2. Verilog HDL 入门实例(含 ADC、FIFO、ADDER、MULTIPLIER等) (many very useful Verilog examples : ADC, FIFO, ADDER, MULTIPLIER etc.)
  3. 所属分类:电信

    • 发布日期:2020-05-08
    • 文件大小:191488
    • 提供者:weixin_43870101
  1. xdp-srv6-adder:添加任意段路由扩展头-源码

  2. XDP-SRv6-Adder 该软件包包含一个用户空间程序和相应的内核xdp程序,用于为客户端执行所有XDP封装。 该程序基于并使用大多数用户空间xdp代码。 我再次用内联方式编写了所有内容,因为我在使用外部封装的IPQ40xx SOC上遇到了问题。 用法 将xdp加载到客户端界面 xdpload -d br-lan -f /usr/xdp/srv6_add_kern.o -p srv6-adder-inline 用段路径进给 xdp-srv6-adder -d br-lan -s 2000
  3. 所属分类:其它

    • 发布日期:2021-03-25
    • 文件大小:9216
    • 提供者:weixin_42131316
  1. Five-Bit-Adder:EE SEM 1项目-源码

  2. EE SEM 1项目 该项目的目的是使用逻辑门构造一个5位全加法器的数字电路。 这是有关二进制加法器的简要说明。 主要有两种类型的加法器:半加法器和全加法器。 在Half加法器中,我们可以将2位二进制数相加,但不能在Half Adder中将进位位与两个二进制数相加。 但是在全加器电路中,我们可以将进位与两个二进制数字相加。 我们还可以通过级联完整的加法器电路来添加多位二进制数。 全加法器电路: 因此,我们知道半加法器电路的主要缺点是我们没有提供“进位”位进行加法的范围。 如果是全加法器结构
  3. 所属分类:其它

    • 发布日期:2021-03-22
    • 文件大小:405504
    • 提供者:weixin_42137022
  1. Dynmap-Icon-Adder-源码

  2. Dynmap图标加法器 Minecraft sunucusu斜齿Dynmap eklentisinikullandığındaartıkkolay birşekildeikon ekleyebilecek。 Birden fazla ikonu tek tek eklemek zor birişlemolabilir。 Dynmap图标加法器。 Kullanıcılarınyapmasıgerekenikonlarınbulunduğukla​​sörüseçipeklemeyapmalar
  3. 所属分类:其它

    • 发布日期:2021-03-17
    • 文件大小:4096
    • 提供者:weixin_42116701
  1. 8 BIT ADDER VHDL

  2. 8 BIT ADDER VHDL
  3. 所属分类:网络安全

    • 发布日期:2021-03-16
    • 文件大小:462
    • 提供者:arcatta
  1. 16-bit-ripple-carry-adder-源码

  2. 16位纹波进位加法器
  3. 所属分类:其它

    • 发布日期:2021-03-13
    • 文件大小:4096
    • 提供者:weixin_42180863
  1. js_task-create-adder-源码

  2. 创建加法器 开始之前请阅读指南
  3. 所属分类:其它

    • 发布日期:2021-03-11
    • 文件大小:68608
    • 提供者:weixin_42131618
  1. All-optical prefix tree adder with the help of terahertz optical asymmetric demultiplexer

  2. We propose and describe an all-optical prefix tree adder with the help of a terahertz optical asymmetric demultiplexer (TOAD) using a set of optical switches. The prefix tree adder is useful in compound adder implementation. It is preferred over the
  3. 所属分类:其它

    • 发布日期:2021-02-25
    • 文件大小:397312
    • 提供者:weixin_38672940
  1. All-optical logic gates and a half-adder based on lithium niobate photonic crystal micro-cavities

  2. All-optical logic gates including AND, XOR, and NOT gates, as well as a half-adder, are realized based on two-dimensional lithium niobate photonic crystal (PhC) circuits with PhC micro-cavities. The proposed all-optical devices have an extinction rat
  3. 所属分类:其它

    • 发布日期:2021-02-22
    • 文件大小:920576
    • 提供者:weixin_38653040
  1. Half Adder and Half Subtractor Operations by DNA Self-Assembly

  2. Recently, experiments have demonstrated that the simple binary arithmetic and logical operations can be executed by the process of self-assembly of DNA tiles. This paper brings out the realization of the half adder and half subtractor using DNA self-
  3. 所属分类:其它

    • 发布日期:2021-02-21
    • 文件大小:1048576
    • 提供者:weixin_38722193
  1. chrome-anki-quick-adder:此chrome扩展程序提供了直接从您的Anki桌面上的Google Chrome创建Anki卡的功能-源码

  2. ChromeAnki快速添加器 此Chrome扩展程序可以直接从Anki桌面上的Google Chrome浏览器创建Anki卡。 产品特点 可以直接从Anki桌面上的Google Chrome创建Anki卡。 下拉菜单中包含所有标签,以实现自动完成功能。 从Chrome菜单扩展图标创建名片。 在线所见即所得编辑器。 演示版 安装 请在运行chrome或安装chrome扩展程序之前启动Anki 。 安装 请用户以允许ankiConnect。 Mac用户需要进行通信。 重新启动Ank
  3. 所属分类:其它

    • 发布日期:2021-01-30
    • 文件大小:15728640
    • 提供者:weixin_42117622
« 12 3 4 5 »