您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 基于Altera+FPGA的FIR滤波器实现

  2. 基于Altera+FPGA的FIR滤波器实现,一篇很好的文章
  3. 所属分类:硬件开发

    • 发布日期:2010-04-12
    • 文件大小:88064
    • 提供者:a2668240714
  1. 基于Altera+FPGA的软硬件协同仿真

  2. 基于Altera+FPGA的软硬件协同仿真,很好的文章
  3. 所属分类:硬件开发

    • 发布日期:2010-04-12
    • 文件大小:136192
    • 提供者:a2668240714
  1. 基于Altera列器件的逻辑锁定方法学在FPGA设计中的应用

  2. 基于Altera列器件的逻辑锁定方法学在FPGA设计中的应用
  3. 所属分类:硬件开发

    • 发布日期:2010-04-12
    • 文件大小:310272
    • 提供者:a2668240714
  1. 编译altera仿真库

  2. 详细介绍在modelsi中编译altera的库,为后仿真提供开发环境
  3. 所属分类:嵌入式

    • 发布日期:2010-04-20
    • 文件大小:356352
    • 提供者:zhouliang_c205
  1. 在modelsim SE 创建Altera的仿真库

  2. 在modelsim SE 创建Altera的仿真库
  3. 所属分类:嵌入式

    • 发布日期:2008-01-04
    • 文件大小:86016
    • 提供者:wangdongx40423p
  1. Altera 时序分析timing analysis_1

  2. Altera公司的时序分析 PDF文件 非常详细
  3. 所属分类:专业指导

    • 发布日期:2010-05-15
    • 文件大小:1048576
    • 提供者:yincheol
  1. Altera DE0 开发版文档

  2. Altera DE0 开发版文档 嵌入式技术
  3. 所属分类:硬件开发

    • 发布日期:2010-05-23
    • 文件大小:2097152
    • 提供者:z3hyz3hy
  1. ALTERA a8237 DMA控制器

  2. ALTERA a8237 DMA控制器 基于vhdl实现
  3. 所属分类:其它

    • 发布日期:2010-05-24
    • 文件大小:203776
    • 提供者:sykmark
  1. Altera DE0 Board.pdf

  2. 该资料详细介绍了Altera DE0 Board.pdf的资料,这是在FPGA板子上进行NIOSII开发的必须
  3. 所属分类:硬件开发

    • 发布日期:2010-05-24
    • 文件大小:5242880
    • 提供者:za_le
  1. altera公司IP核使用手册

  2. altera公司IP核使用手册,使用altera公司设计软件Quartus的好资料,贡献给大家,我为人人,人人为我
  3. 所属分类:专业指导

    • 发布日期:2010-05-26
    • 文件大小:1048576
    • 提供者:xinyuyg
  1. Altera培训

  2. Altera培训的资料
  3. 所属分类:iOS

    • 发布日期:2008-01-18
    • 文件大小:4194304
    • 提供者:majun123
  1. Altera FPGA的特殊管脚的连接

  2. Altera FPGA的特殊管脚的连接;
  3. 所属分类:硬件开发

    • 发布日期:2010-06-01
    • 文件大小:94208
    • 提供者:xiaowen0514
  1. altera 10G网卡接口.pdf

  2. altera 10G网卡接口 10-Gbps Ethernet Reference Design ■ 10-Gbps Ethernet receiver and transmitter media access controller (MAC) in full- duplex mode, which conforms to the IEEE 802.3 2005 standard
  3. 所属分类:Access

    • 发布日期:2010-06-06
    • 文件大小:1048576
    • 提供者:wangdayuno1
  1. altera DE0 实验板电路原理图

  2. altera 公司 DE0 实验板电路原理图
  3. 所属分类:专业指导

    • 发布日期:2010-06-07
    • 文件大小:347136
    • 提供者:tahaa
  1. altera公司FFT核的使用例程 avalon协议

  2. ALTERA公司FFT核模块的使用例程,FFT核ip core遵循altera公司最新的avalon总线协议。
  3. 所属分类:专业指导

    • 发布日期:2010-06-21
    • 文件大小:3145728
    • 提供者:hengsir2009
  1. ISP下载线及JTAG集合(AVR,ARM,LATTICE,S51,Altera等等)原理图及PCB

  2. ISP下载线及JTAG集合(AVR,ARM,LATTICE,S51,Altera等等)原理图及PCB
  3. 所属分类:硬件开发

    • 发布日期:2010-06-27
    • 文件大小:1048576
    • 提供者:l443638374
  1. Altera 认证培训lab

  2. altera的认证FAE培训用的lab,很有参考价值,主要是timing 的部分。
  3. 所属分类:专业指导

    • 发布日期:2010-06-30
    • 文件大小:7340032
    • 提供者:phoenixpiggy
  1. Altera FPGA与CPLD设计(基础篇)

  2. Altera FPGA与CPLD设计(基础篇) 学Altera FPGA的可以参考,本书更像是Altera的介绍!
  3. 所属分类:硬件开发

    • 发布日期:2010-07-05
    • 文件大小:24117248
    • 提供者:y1984zp
  1. altera PCI总线规范

  2. altera的PCI 核介绍,对于PCI开发有很大的帮助
  3. 所属分类:专业指导

    • 发布日期:2010-07-24
    • 文件大小:2097152
    • 提供者:xieling5574092
  1. 理解Altera器件IO输出的时间参数

  2. 理解Altera器件IO输出的时间参数sssssssssssssssssssssssss
  3. 所属分类:专业指导

    • 发布日期:2010-07-27
    • 文件大小:318464
    • 提供者:xuanjin1234
« 1 2 3 4 56 7 8 9 10 ... 50 »