您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. COSTAS环性能分析

  2. 主要给出当输入信号为存在相干载波的合成数据调制信号时Costas 环的工作性能. 通过分析环路 特性对合成信号中的固定相移和相干载波功率的敏感程度,推导数据支路滤波损耗相同条件下环路相位方 差最小的传递函数,给出了环路相位方差和最佳支路滤波器的数学表达形式. 得出环路相位方差不仅与相 干载波和数据调制功率之比有关,同时与载波和数据之间的固定相移有关,并且,当固定相移大于45 °时,存 在相干载波和数据调制功率比范围,使得相位方差急剧增大,导致环路无法正常工作的结论. 通过仿真验证 了结论的正确
  3. 所属分类:嵌入式

    • 发布日期:2009-04-28
    • 文件大小:450560
    • 提供者:lilianhitf221
  1. costas论文.rar

  2. costas论文.rar 基于FPGA的数字Costas锁相环路的设计
  3. 所属分类:硬件开发

    • 发布日期:2009-10-20
    • 文件大小:2097152
    • 提供者:dhjie
  1. COSTAS环的仿真与实现

  2. COSTAS环的仿真与实现,针对BPSK和QPSK
  3. 所属分类:嵌入式

    • 发布日期:2009-11-04
    • 文件大小:217088
    • 提供者:carfen
  1. 基于FPGA实现Costas环的集成开发环境、Verilog HDL开发语言

  2. 基于FPGA实现Costas环的集成开发环境、Verilog HDL开发语言,,科斯塔斯环,载波同步,FPGA,数字通信,Verilog基于FPGA实现Costas环的集成开发环境、Verilog HDL开发语言,,科斯塔斯环,载波同步,FPGA,数字通信,Verilog基于FPGA实现Costas环的集成开发环境、Verilog HDL开发语言,,科斯塔斯环,载波同步,FPGA,数字通信,Verilog基于FPGA实现Costas环的集成开发环境、Verilog HDL开发语言,,科斯塔斯环
  3. 所属分类:硬件开发

    • 发布日期:2010-05-29
    • 文件大小:1024
    • 提供者:xuelanglingyu
  1. Costas提取载波

  2. 1.在MATLAB中编写程序实现用Costas提取载波 2.在simulink中实现用Costas提取载波
  3. 所属分类:其它

    • 发布日期:2010-07-25
    • 文件大小:9216
    • 提供者:haoqiangqiang
  1. 全数字COSTAS环在FPGA上的设计与实现

  2. 介绍载波同步方法,重点介绍COSTAS环实现载波同步
  3. 所属分类:硬件开发

    • 发布日期:2010-10-27
    • 文件大小:229376
    • 提供者:yoyoqimin
  1. 锁相环和锁频环在数字Costas环中的应用

  2. 锁相环和锁频环在数字Costas环中的应用
  3. 所属分类:专业指导

    • 发布日期:2011-02-27
    • 文件大小:3145728
    • 提供者:wuhuaming2006
  1. 提取相干载波costas环

  2. 用基本器件制作的costas环,附带误码率测试..
  3. 所属分类:其它

    • 发布日期:2011-05-19
    • 文件大小:4096
    • 提供者:edwinl
  1. 一种基于Costas环的BPSK解调设计

  2. 一种基于Costas环的BPSK解调设计 设计了一种基于软件无线电思想的中频数字化接收机系统, 该系统由数据采集模块、数字下变频( DDC )模块和数字解调模块构成。文中重点研究了基于Costas环的BPSK相干解调, 并介绍了载波同步及BPSK 解调的工作流程图, 最后通过Simulink对BPSK 解调原理进行了仿真验证
  3. 所属分类:硬件开发

    • 发布日期:2011-06-01
    • 文件大小:603136
    • 提供者:pjw163
  1. HSP50210--Digital Costas Loop

  2. HSP50210--Digital Costas Loop
  3. 所属分类:嵌入式

    • 发布日期:2011-09-18
    • 文件大小:801792
    • 提供者:musicret
  1. QPSK调制解调完整C语言程序(加高斯噪声、costas环)

  2. QPSK调制解调完整C语言程序,包含高斯噪声、costas环等,VS2010调试通过
  3. 所属分类:C

    • 发布日期:2012-09-09
    • 文件大小:8192
    • 提供者:abing206
  1. Costas序列在雷达信号设计中的应用研究

  2. 利用Costas跳频编码使信号的模糊函数形成近似理想的"图钉"形状,提供高精度的时延频偏联合估计,能够比较准确地估计高速运动目标反射回来回波信号的多径时延和频移。用模糊函数时频分析处理多径信号在目标检测中有很好的效果,在接收端进行匹配滤波时,综合了时延和多普勒二维的信息,因此其分辨率比只提取一维距离信息的时域相关检测好。
  3. 所属分类:电信

    • 发布日期:2012-11-22
    • 文件大小:356352
    • 提供者:mrboy0317
  1. matlab实现costas环

  2. 在matlab的simulink平台上实现costas环
  3. 所属分类:其它

    • 发布日期:2012-12-14
    • 文件大小:17408
    • 提供者:robin051456
  1. 全数字Costas环在FPGA上的设计与实现

  2. 全数字Costas环在FPGA上的设计与实现
  3. 所属分类:硬件开发

    • 发布日期:2013-01-09
    • 文件大小:771072
    • 提供者:chucunda18
  1. 基于FPGA的全数字Costas环的设计与实现

  2. 介绍一种基于FPGA的全数字Costas环的设计,该设计具有较强的指导性,有一定的工程价值。
  3. 所属分类:专业指导

    • 发布日期:2013-05-31
    • 文件大小:177152
    • 提供者:youdn
  1. costas环设计

  2. costas环设计锁相环跟踪实际的载波频率
  3. 所属分类:其它

    • 发布日期:2013-08-30
    • 文件大小:1024
    • 提供者:asariel
  1. costas环verilog实现

  2. costas环verilog实现,包含完整的verilog代码
  3. 所属分类:其它

    • 发布日期:2013-11-30
    • 文件大小:5120
    • 提供者:astwyg
  1. COSTAS环论文

  2. 经典的COSTAS的FPGA实现论文,详细描述了实现过程中的参数设计及时序要求。
  3. 所属分类:硬件开发

    • 发布日期:2014-03-16
    • 文件大小:130048
    • 提供者:u011726674
  1. costas环的仿真程序

  2. 主要是costas环的仿真,有利于对costas环的理解
  3. 所属分类:其它

    • 发布日期:2014-06-06
    • 文件大小:2048
    • 提供者:u012918013
  1. costas信号生成

  2. 本程序是costas产生的程序,写好参数即可调用,非常方便有用
  3. 所属分类:电信

    • 发布日期:2015-01-22
    • 文件大小:575
    • 提供者:qq_24785955
« 12 3 4 5 »