您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. CRC校验原理与其C语言实现

  2. CRC校验原理与其C语言实现,CRC校验原理与其C语言实现
  3. 所属分类:C

    • 发布日期:2010-07-19
    • 文件大小:43008
    • 提供者:yuner82
  1. CRC编解码器及其FPGA实现

  2. CRC编解码器及其FPGA实现 简要介绍C R C编码原理及其常用实现方法的基础上, 提出了一种基于字节型递推( 会式法i 法的C R C编解码器算法, 并给出了它的F P G 人实现方案。
  3. 所属分类:C

    • 发布日期:2010-07-21
    • 文件大小:301056
    • 提供者:alhons
  1. 成各种多项式方程的,各种位数的CRC生成器

  2. 可以生成各种多项式方程的,各种位数的CRC.生成以后的初始化值从"11111..11" 修改为"0000...00":其中lfsr_q <= 修改为 b"00000";
  3. 所属分类:专业指导

    • 发布日期:2010-07-23
    • 文件大小:13312
    • 提供者:maxshao
  1. 用verilog实现并行的CRC运算

  2. 使用verilog实现的并行CRC运算,支持多字节的输入
  3. 所属分类:专业指导

    • 发布日期:2010-07-25
    • 文件大小:619
    • 提供者:lqzsanta
  1. 8位的CRC校验,我常用在CDT规约计算上的

  2. 8位的CRC校验,我常用在CDT规约计算上的
  3. 所属分类:专业指导

    • 发布日期:2010-07-26
    • 文件大小:24576
    • 提供者:action20
  1. CRC码在DSP上的实现

  2. 循环冗余码(CRC)是一种常用的检测错误码,广泛应用于测控及通信领域。CRC检验的基本思想是利用线性编码理论,在发送端根据要传送的k位二进制码序列,以一定的规则产生一个校验用的监督码(即CRC码)r位,并附加在信息后边,构成一悠闲的的二进制码序列数共(k+r位),最后发送出去。在接收端,则根据信息码和CRC码之间遵循的规则进行检验,以确定传送中是否出错。本课程设计介绍基于TMS320C54X系列DSP的CRC软件实现方法并介绍了循环冗余校验CRC算法原理和校验规则,文中首先分析CRC的逐位比特
  3. 所属分类:其它

    • 发布日期:2010-07-27
    • 文件大小:361472
    • 提供者:abcbocheng
  1. 详细的CRC校验原理

  2. 非常详细的CRC校验原理! 非常详尽地介绍了crc的实现原理与多种环境下实现方法。
  3. 所属分类:专业指导

    • 发布日期:2010-07-30
    • 文件大小:149504
    • 提供者:jsjlys
  1. CRC校验的C语言编程

  2. CRC,循环冗余检验码是一种比较好的校验方式,本文主要讲了关于CRC码的C语言实现,
  3. 所属分类:C

    • 发布日期:2010-08-02
    • 文件大小:194560
    • 提供者:eric802
  1. CRC算法设计与程序实现

  2. 数字通信系统的数据传输为了保证传输的有效性,常采用CRC校验,本算法简单实用,适合大数据模块传输的情况
  3. 所属分类:其它

    • 发布日期:2010-08-02
    • 文件大小:211968
    • 提供者:eric802
  1. 简单的全面的CRC运算器

  2. 简单的全面的CRC运算器简单的全面的CRC运算器简单的全面的CRC运算器简单的全面的CRC运算器
  3. 所属分类:专业指导

    • 发布日期:2010-08-04
    • 文件大小:30720
    • 提供者:study_jsdx2009
  1. 8位信息码CRC较验源代码(C语言)

  2. 我自己写的一个8位信息码CRC较验码生成C语言程序
  3. 所属分类:C

    • 发布日期:2010-08-08
    • 文件大小:152576
    • 提供者:y286572046
  1. 各种CRC生成工具,包括CRC8,CRC16,CRC-CCITT,CRC32

  2. 自己编写的CRC生成工具,输入数据为16进制数据,每个数据用空格分开。要源码的站内pm我。
  3. 所属分类:Java

    • 发布日期:2010-08-09
    • 文件大小:13312
    • 提供者:feixiangxtu
  1. crc校验标准Modbus

  2. crc校验标准Modbus的程序可以适用满足本协议的校验
  3. 所属分类:C/C++

    • 发布日期:2010-08-12
    • 文件大小:4096
    • 提供者:Ethanzhengshiqi
  1. 基于Verilog HDL 语言的CRC校验芯片设计

  2. 基于Verilog HDL 语言的CRC校验芯片设计
  3. 所属分类:嵌入式

    • 发布日期:2010-08-15
    • 文件大小:259072
    • 提供者:liuliming808
  1. CRC-8循环冗余编码

  2. 用Verilog编写的CRC循环冗余编码,可用FPGA实现
  3. 所属分类:硬件开发

    • 发布日期:2010-08-17
    • 文件大小:593
    • 提供者:anlydo2009
  1. DS18B20温度检测,CRC校验

  2. 实现温度检测功能,带CRC(循环冗余码)校验,高低温度报警,LCD液晶显示
  3. 所属分类:C

    • 发布日期:2010-08-18
    • 文件大小:47104
    • 提供者:hexlian
  1. CRC校验器,用VC实现算法,生成DLL动态连接库,在VB中调用,都是源码

  2. CRC校验器,用VC实现算法,生成DLL动态连接库,在VB中调用,都是源码,可看成是学习动态连接库德例程,需要CRC算法的也可参考
  3. 所属分类:VB

    • 发布日期:2010-08-19
    • 文件大小:8388608
    • 提供者:celerylxq
  1. CRC校验原理解释以及范例

  2. CRC校验原理解释以及范例 CRC校验原理解释以及范例 CRC校验原理解释以及范例
  3. 所属分类:专业指导

    • 发布日期:2010-08-22
    • 文件大小:160768
    • 提供者:wjh173069597
  1. VB 求MODBUS16位CRC

  2. VB 求MODBUS16位CRC 查表法
  3. 所属分类:VB

    • 发布日期:2010-08-26
    • 文件大小:8192
    • 提供者:a250871207
  1. crc校验测试台程序 erilongHDL编写

  2. crc校验仿真测试台程序。编写语言为verilogHDL。给初学测试台跟想了解crc校验的同学用。高手不用下了。
  3. 所属分类:嵌入式

    • 发布日期:2010-08-27
    • 文件大小:872
    • 提供者:hepeng_221
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 50 »