您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. eda电梯控制与原理框图

  2. EDA中的电梯控制器的主要VHDL源程序
  3. 所属分类:专业指导

    • 发布日期:2009-06-29
    • 文件大小:1mb
    • 提供者:xiongman
  1. 电梯运行控制器设计(EDA)

  2. 本电梯控制器分为主控制器和分控制器。主控制器是电梯内部的控制器,每个楼层有一个分控制器
  3. 所属分类:专业指导

    • 发布日期:2009-09-20
    • 文件大小:40kb
    • 提供者:guocaifang
  1. EDA课程设计——电梯控制器设计

  2. 绝对完整…… 电梯上锁;电梯报警;电梯超载;电梯运行模式等电梯运行的各个环节的仿真波形。 这份文档可是我千辛万苦整理出来的,非常详细,经过多位老师的观看;
  3. 所属分类:嵌入式

    • 发布日期:2010-03-04
    • 文件大小:135kb
    • 提供者:englishisgood
  1. EDA三层电梯设计,MAX+PLUSⅡ VHDL 仿真

  2. 用层次化的设计方法,通过硬件描述语言VHDL对电梯控制器各功能模块进行编程,并使用MAX+PLUSⅡ软件对该程序进行了编译、仿真,结果表明该电梯遵循方向优先的原则提供三个楼层多用户的载客服务并指示电梯的运行情况。
  3. 所属分类:嵌入式

    • 发布日期:2010-03-20
    • 文件大小:147kb
    • 提供者:difficulthjr
  1. 八层电梯控制器vdhl源程序

  2. 花了点时间做的 多集成,有兴趣的可以下来看看,是课程设计不错的选择
  3. 所属分类:专业指导

    • 发布日期:2010-06-24
    • 文件大小:15kb
    • 提供者:cdance
  1. 基于EDA的六层电梯控制器

  2. 基于EDA的六层电梯控制器 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity dianti is port ( clk : in std_logic; --时钟信号(频率为2Hz) full,deng,quick,clr : in std_logic; --超载、关门中断、提前关门清除报警信号 c_u1,c_u2,c_u
  3. 所属分类:C

    • 发布日期:2010-06-29
    • 文件大小:35kb
    • 提供者:zhanghaobuhui
  1. 毕业论文-基于EDA技术的电梯控制器实现与仿真

  2. 毕业论文-基于EDA技术的电梯控制器实现与仿真.doc
  3. 所属分类:专业指导

    • 发布日期:2011-05-12
    • 文件大小:904kb
    • 提供者:jeanloves
  1. EDA 高层电梯控制器课程设计

  2. 设计一个16层电梯控制器,分为主控制器和分控制器。主控制器是电梯内部的控制器,每层电梯入口处有一个分控制器。 主控制器的功能如下: (1) 在电梯开关打开时响应要求,否则不响应; (2) 电梯初始位置是1层; (3) 电梯运行时,指示方向和当前所在楼层; (4) 电梯每秒升/降1层; (5) 当电梯到达所请求的楼层时,自动开门,等待5秒后自动关门,继续运行,如果没有请求信号,停留在当前楼层; (6) 收到请求后,自动到达用户所在楼层,自动开门; (7) 记忆电梯内外所有请求,并按电梯运行顺序执
  3. 所属分类:其它

    • 发布日期:2011-07-04
    • 文件大小:622kb
    • 提供者:a569582560
  1. EDA电梯控制器

  2. EDA3层电梯控制器.共有7个状态,up1,up2,down2,down3,up,down,c1,c2,c3,f1,f2,f3.分别表示电梯当前的状态
  3. 所属分类:软件测试

    • 发布日期:2015-01-03
    • 文件大小:6kb
    • 提供者:qq_25016827
  1. 广东工业大学EDA课设电梯控制器代码

  2. 广东工业大学EDA课设Verilog简易电梯控制器(寄存两个状态,数码管显示)
  3. 所属分类:专业指导

    • 发布日期:2017-12-12
    • 文件大小:1kb
    • 提供者:huang__yu
  1. EDA 8层电梯控制器

  2. EDA 8层电梯控制器 有完整的仿真波形截图 还有完整的源程序
  3. 所属分类:嵌入式

    • 发布日期:2009-02-27
    • 文件大小:1mb
    • 提供者:huhuasheng
  1. EDA中的电梯控制器的主要VHDL源程序

  2. 本文介绍的是EDA中的电梯控制器的主要VHDL源程序
  3. 所属分类:其它

    • 发布日期:2020-08-05
    • 文件大小:12kb
    • 提供者:weixin_38717031
  1. EDA/PLD中的基于FPGA的电梯控制器系统设计

  2. 摘 要:本文首先提出了一种基于有限状态机的电梯控制器算法,然后根据该算法设计了一个三层电梯控制器,该电梯控制器的正确性经过了仿真验证和硬件平台的验证。本文的电梯控制器设计,结合了深圳信息职业技术学院的实际电梯的运行情况,易于学生理解和接受,对于工学结合的教学改革,是一个非常好的实践项目。另外,本文提出的电梯控制器算法适合于任意楼层,具有很强的适应性和实用性。   电子设计自动化技术是19世纪末21世纪初新兴的技术,其在数字电路设计和日常的控制系统中已经体现了强大的功能和优势。随着EDA技术的高
  3. 所属分类:其它

    • 发布日期:2020-10-21
    • 文件大小:231kb
    • 提供者:weixin_38606404
  1. EDA/PLD中的基于VHDL三层电梯控制器的设计

  2. 0 引言   电梯控制器是控制电梯按顾客要求自动上下的装置。本文采用VHDL语言来设计实用三层电梯控制器,其代码具有良好的可读性和易理解性,源程序经A1tera公司的MAX+plus II软件仿真,目标器件选用CPLD器件。通过对三层电梯控制器的设计,可以发现本设计有一定的扩展性,而且可以作为更多层电梯控制器实现的基础。   1 三层电梯控制器将实现的功能   (1)每层电梯入口处设有上下请求开关,电梯内设有顾客到达层次的停站请求开关。   (2)设有电梯入口处位置指示装置及电梯运行模式
  3. 所属分类:其它

    • 发布日期:2020-11-10
    • 文件大小:252kb
    • 提供者:weixin_38587705
  1. EDA/PLD中的EDA中的电梯控制器的系统设计方案

  2. 根据系统设计要求,并考虑到系统的可验证性,整个系统的输入输出接口设计如图1所示:系统工作用2 Hz基准时钟信号CLKIN,楼层上升请求键UPIN,楼层下降请求键DOWNIN,楼层选择键入键ST_CH,提前关门输入键CLOSE,延迟关门输入键DELAY,电梯运行的开关键RUN_STOP,电梯运行或停止指示键LAMP,电梯运行或等待时间指示键RUN_WAIT,电梯所在楼层指示数码管ST_OUT,楼层选择指示数码管DIRECT。   如图1 电梯控制器DTKZQ的输入输出接口图   电梯的控制
  3. 所属分类:其它

    • 发布日期:2020-11-15
    • 文件大小:143kb
    • 提供者:weixin_38601499
  1. EDA/PLD中的EDA中的电梯控制器的系统的有关仿真

  2. 电梯控制器DTKZQ的仿真结果如图所示。请读者自己对仿真结果进行分析。   如图 电梯控制器DTKZQ的仿真图    来源:ks99
  3. 所属分类:其它

    • 发布日期:2020-11-15
    • 文件大小:113kb
    • 提供者:weixin_38695061
  1. 基于VHDL语言的实用电梯控制器的设计

  2. 摘 要:介绍了基于VHDL语言设计的电梯控制器,并进行了电路综合和仿真。该控制器遵循方向优先的原则,提供16个楼层多用户的载客服务并指示电梯的运行情况。关键词:VHDL;控制器;EDA;电梯  现代硬件设计运用EDA(ElectronicDesignAutomation)技术采用并行工程和“自顶向下”的设计方法,从系统设计入手,在顶层进行层次划分和结构设计,在功能模块一级进行仿真、纠错,并用VHDL,VerilogHDL等硬件描述语言对高层次的系统行为进行描述,在系统一级进行验证,最后用逻辑综合
  3. 所属分类:其它

    • 发布日期:2021-02-03
    • 文件大小:147kb
    • 提供者:weixin_38632006
  1. EDA中的电梯控制器的系统的有关仿真

  2. 电梯控制器DTKZQ的仿真结果如图所示。请读者自己对仿真结果进行分析。   如图 电梯控制器DTKZQ的仿真图    :
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:179kb
    • 提供者:weixin_38741531
  1. EDA中的电梯控制器的系统设计方案

  2. 根据系统设计要求,并考虑到系统的可验证性,整个系统的输入输出接口设计如图1所示:系统工作用2 Hz基准时钟信号CLKIN,楼层上升请求键UPIN,楼层下降请求键DOWNIN,楼层选择键入键ST_CH,提前关门输入键CLOSE,延迟关门输入键DELAY,电梯运行的开关键RUN_STOP,电梯运行或停止指示键LAMP,电梯运行或等待时间指示键RUN_WAIT,电梯所在楼层指示数码管ST_OUT,楼层选择指示数码管DIRECT。   如图1 电梯控制器DTKZQ的输入输出接口图   电梯的控制
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:171kb
    • 提供者:weixin_38610573
  1. 基于FPGA的电梯控制器系统设计

  2. 摘 要:本文首先提出了一种基于有限状态机的电梯控制器算法,然后根据该算法设计了一个三层电梯控制器,该电梯控制器的正确性经过了仿真验证和硬件平台的验证。本文的电梯控制器设计,结合了深圳信息职业技术学院的实际电梯的运行情况,易于学生理解和接受,对于工学结合的教学改革,是一个非常好的实践项目。另外,本文提出的电梯控制器算法适合于任意楼层,具有很强的适应性和实用性。   电子设计自动化技术是19世纪末21世纪初新兴的技术,其在数字电路设计和日常的控制系统中已经体现了强大的功能和优势。随着EDA技术的高
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:291kb
    • 提供者:weixin_38552305
« 12 3 »