您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. WP主题:HotNewspro 2.72

  2. HotNews(热点新闻)作为一款免费的Wordpress主题,集成了五、六十项功能,丰富的主题设置面板,功能模块化,虽是一款主题,却集成了CMS、BLOG、图片、视频等多个模版,统一的风格展示不同的内容。历经多次升级,目前版本为HotNews Pro 2.7.1 Plus。 ■ 下载安装。 不要直接上传下载的压缩包,解压后上传里面的主题HotNewspro.zip及wp-postviews插件(必须安装),否则会提示样式表丢失和部分功能模块无法显示。 主题集成了大量JS脚本,因此安装主题前,
  3. 所属分类:网页制作

    • 发布日期:2013-10-05
    • 文件大小:702464
    • 提供者:bin327
  1. vaadin之书(book-of-vaadin中文版)

  2. vaadin的中文资料很少,在网上找了很久,发现了这本书,与大家分享一下。打开的时候请选择正确的编码(UTF-8)。大概目录如下: 前言 I. 简介 1. 简介 1.1. 概述 1.2. 示例程序一瞥 1.3. 对 Eclipse IDE 的支持 1.4. Vaadin 的目标与哲学 1.5. 背景 2. 开始使用 Vaadin 2.1. 概述 2.2. 设置开发环境 2.2.1. 安装 Java SDK 2.2.2. 安装 Eclipse IDE 2.2.3. 安装 Apache Tomca
  3. 所属分类:Java

    • 发布日期:2014-09-22
    • 文件大小:26214400
    • 提供者:lsh370190322
  1. qt5教程(附标签)

  2. C++ Qt5 范例开发大全 作者: Longki 目 录 第 1章 开发环境 1.1 Qt 简介。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。 5 1.2 下载安装 Qt Creator 。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。6 1.3 第一个程序 Hello World 。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。7 第
  3. 所属分类:QT

  1. Spring 3.0官方中文文档

  2. Spring 3.0官方中文文档 即Spring框架的概述 1。 介绍Spring框架 1.1。 依赖注入和控制反转 1.2。 模块 1.2.1。 核心容器 1.2.2。 数据访问/集成 1.2.3。 web 1.2.4。 AOP和仪表 1 2 5。 测试 1.3。 使用场景 1.3.1。 依赖关系管理和命名约定 Spring依赖和依靠弹簧 Maven依赖管理 艾薇依赖管理 1.3.2。 日志 不使用通用日志 使用SLF4J 使用Log4j 二世。 什么是新的在春季3 2。 新特性和增强功能在
  3. 所属分类:Java

    • 发布日期:2015-06-12
    • 文件大小:9437184
    • 提供者:n_ou25
  1. Flash ActionScript 3 殿堂之路

  2. 本书是国内第一本“面向原因式”(Why—Oriemed Book)与国际同步的、全面系统介绍Actionscr ipt3的书籍。本书以前端系统架构师的眼光,将面向对象思想作为主轴讲述Actionscr ipt3的精髓;从系统架构的高度,清楚讲解Actionscr ipt3的API设计原因、原理和应用。面向对象思想和Actionscr ipt3系统架构是RIA开发的任督二脉,打通之后,会发现所有Actionscr ipt3知识都是共通共融、浑然一体的,从而再进行学习或开 发,就会势如破竹、轻松如
  3. 所属分类:Actionscript

    • 发布日期:2016-02-26
    • 文件大小:37748736
    • 提供者:supersunstar
  1. 发送短信API接口及其例子程序

  2. 接口说明:   这里介绍的是利用清扬短信服务器软件发短信的接口。   清扬短信服务器软件是一个利用了短信猫(Gsm Modem)来收发短信的软件,并支持繁体、日语等多语言短信的发送,在某些环境下,比如:在隔离网络中,似乎是收发短信的主要选择。   北京清扬创新提供了一个qyVDevApi.dll,用此dll可建立和短信服务器的沟通。 API接口介绍   int qvdSendSm( void * p0, WCHAR * sm, char * phoneNo, HWND hWnd_notify,
  3. 所属分类:网络基础

    • 发布日期:2008-11-28
    • 文件大小:66560
    • 提供者:keke0307
  1. Zynq启动、AMP加载、uCos、Linux等基本介绍

  2. 文档共60页。主要向初学者提供了Zynq开发的技术方向,针对不同应用给出了基本的参考文档;同时对Zynq双核AMP加载方式做了详细描述,对Zynq的fsbl启动流程做了简单介绍。章节如下: Zynq User Guide 1 介绍 4 2 快速上手指南 4 3 多核开发教程 4 3.1 AMP开发说明 6 3.1.1 快速生成amp工程 6 3.1.2 Generating Boot File 8 3.1.3 烧写程序 9 3.1.4 启动 10 3.1.5 调试 10 3.1.6 总结 11
  3. 所属分类:嵌入式

    • 发布日期:2017-09-29
    • 文件大小:31457280
    • 提供者:bsmcs9
  1. HC-05蓝牙模块使用教程(适用于两个蓝牙模块绑定)

  2. 步骤: 1. 两个蓝牙模块必须一个作为主机,另一个作为从机才能建立连接(上电后,从机一直处于接受状态,等待被连接。而主机则主动发出信号,寻找目标从机,前提是该目标从机的mac地址事先被写入到主机的flash芯片中储存) 2. 蓝牙模块上电前一直按住key按键不放,上电后松开,此时LED灯处于慢闪状态(每秒闪1次),提示已经进入AT指令响应状态,可以进行接收AT指令控制 3. PC端打开安可信串口助手(带AT指令),打开相应的串口,发送AT+ROLE?\n查询该模块的主从角色,如果为0,则为从机
  3. 所属分类:硬件开发

    • 发布日期:2017-11-20
    • 文件大小:318464
    • 提供者:zhcn2010
  1. PHP网页编辑软件教程『 中文手册』

  2. Php5中文手册 -------------------------------------------------------------------------------- 序文 I. 入门指引 1. 简介 2. 简明教程 3. 安装 4. 运行时配置 II. 语言参考 5. 基本语法 6. 类型 7. 变量 8. 常量 9. 表达式 10. 运算符 11. 流程控制 12. 函数 13. 类与对象 14. 引用的解释 III. 安全 15. 安全 IV. 特点 16. 用 PHP 进行
  3. 所属分类:PHP

    • 发布日期:2009-02-27
    • 文件大小:4194304
    • 提供者:jccg17655
  1. Zend_Framework中文手册

  2. Zend Framework手册 中文版 Zend Framework 版权 © 2005-2009 Zend Technologies Inc. (http://www.zend.com) 2009-02-01 -------------------------------------------------------------------------------- 目录 1. Zend Framework简介 1.1. 概述 1.2. 安装 2. Zend_Acl 2.1. 简介 2.
  3. 所属分类:Java

    • 发布日期:2009-04-17
    • 文件大小:1048576
    • 提供者:zhengyunq
  1. TP-LINK WR703N OpenWrt刷机教程及固件 4M ,稳定 支持3G和打印机共享外接网卡

  2. 原贴 http://www.right.com.cn/forum/thread-91571-1-1.html 20120905版4M固件: 1. 720N固件,基于703N修改。TP-Link原厂固件请刷factory,已经是OpenWrt了就刷sysupgrade。 且刷此固件后可直刷OpenWrt 703N、OpenWrt 720N、TP-Link 703N、TP-Link 720N固件,不用改固件头。 2. 4M固件,当然8M的flash也可以刷。 3. 支持MentoHUST(锐捷认证
  3. 所属分类:Linux

    • 发布日期:2018-03-06
    • 文件大小:3145728
    • 提供者:ideaz800
  1. CopperCube中文教程手册

  2. CopperCube是一款非常好的搜索引擎工具特点:1基于开源的Irrlicht 3D游戏引擎(SWFZ就是改写自此引擎) 2可直接由Irrlicht引擎导出flash-swf(非静态渲染,CopperCube flash-3D引擎的实时三维)或独立的windows-exe文件.3.可制作从最简单三维全景到复杂的完整的三维游戏.4.自带编辑器,无需编程即可做游戏.5.使用Actionscr ipt 3 或 Squirrel(?)语言作为编程语言.
  3. 所属分类:其他

    • 发布日期:2018-10-24
    • 文件大小:1048576
    • 提供者:xiaobaiqing1983
  1. 迅雷看看前端首页设计视频教程(55集)

  2. 教程名称:迅雷看看前端首页设计视频教程(55集)课程目录:【】1.迅雷看看头部logo区域【】2.迅雷看看头部flash区域与搜索框区域讲解【】3.迅雷看看头部搜索框jquery效果讲解【】4.迅雷看看头部右侧用户区域布局【】5.迅雷看看头部右侧隐藏盒子讲解【】6.迅雷看看头部右侧中间隐藏盒子讲解【】7.迅雷看看头部右侧隐藏盒子 资源太大,传百度网盘了,链接在附件中,有需要的同学自取。
  3. 所属分类:其它

  1. 蓝光联盟官网源码v经典版1.0

  2. 系统版本:蓝光联盟官网源码 v经典版1.0 系统简介:本系统采用flash、html纯静态开发,一级分类,界面简洁大方,功能简单易用,已做好seo优化。 功能模块: 1.首页幻灯:效果超炫 2.操作教程:防百科效果,一键直达 3.账号注册:框架结构 4.合作伙伴,图文并茂 本系统维护简单,纯html页面。 方便蓝光联盟的代理商和技术员使用,只要修改其中的邀请码为自己的,即可打造一个属于自己的蓝光联
  3. 所属分类:其它

    • 发布日期:2019-08-06
    • 文件大小:1048576
    • 提供者:weixin_39840588
  1. Xilinx NEXYS4 SPI flash工程文件

  2. 与教程相应的源代码。根目录包含: 1. 相关文档,nexys4ddr_rm.pdf是开发板文档;S25FL128S是SPI flash芯片文档;ug953-vivado-7series-libraries.pdf是与Xilinx IP相关的文档 2. src/包含所有源代码 3. sim/包含所有仿真所需文件(库文件过大需要自己从Vivado编译) 4. flash/包含Vivado 工程文件
  3. 所属分类:嵌入式

    • 发布日期:2019-08-13
    • 文件大小:8388608
    • 提供者:qimodiy
  1. e语言-奇易浏览框模块2.0

  2. 2.0(2018-7-21 05:23:14)     1.优化 开发面板功能    2.增加 快速初始化wke 功能     3.发布浏览框模块当前版本的快速入门教程 1.9(2018-7-20 06:38:59)     1.增加 启动开发面板 功能 (可以在运行的时候进行代码开发测试) 1.8(2018-7-4 07:25:12)     1.增加填表操作_取自定义属性        2.增加填表操作_执行自定义代码_返回值     3.增加绑定事件_Alert被调用     4.增加绑
  3. 所属分类:其它

    • 发布日期:2019-08-23
    • 文件大小:46080
    • 提供者:weixin_38743602
  1. 宇瞻AH522 8GU盘量产工具(群联UP13-UP16).rar

  2. 软件介绍: 宇瞻随身碟AH522 8G 群联UP13-UP16主控U盘量产工具。PNP设备ID:VID=1005 PID=B113设备序列号:19960235061E设备版本:PMAP设备类型:标准USB设备 - USB2.0高速芯片制造商:phison(群联)芯片型号:UP13~UP16产品制造商:USB产品型号:FLASH DRIVE量产教程:1:打开  量产.ini 这个文件2:找到  cdrom image=...... 这一行3:把后面的ISO改成你自己的ISO文件,比如:你的ISO
  3. 所属分类:其它

    • 发布日期:2019-09-04
    • 文件大小:1048576
    • 提供者:weixin_38743737
  1. INCA_Tutorial_R7.2_R02_EN.pdf

  2. 培训时候用的V7.2版本的官方教程,需要的自行下载.....ETAS Contents Contents Introduction 1.2 Satety Advice 1.3 Typographic conventions 1. 4 List of abbreviations 777789 2 INCA Basice 1 Concepts 2.2 The concepts applied to the calibration process 035 3 Overview of Working P
  3. 所属分类:软件测试

    • 发布日期:2019-09-03
    • 文件大小:5242880
    • 提供者:ichbinshawn
  1. au698x量产工具AlcorMP(11.09.28).rar

  2. 软件介绍: 安国主控U盘au698x量产工具版本VER 11.09.28更新说明:1、改善AU6987对H27UCG8T2MYR、H27UBG8T2BTR DOWNGRADE FLASH的支持,提高了稳定性 。2、修改了V11.08.26版本存在的DDR 速度优先MP FAIL问题。3、修改了AUTORUN一个BUG。4、修改二次回传BIN FIX CAPACITY问题。5、修改了序列号支持16进制。6、增加支持K9GBGD8U0M/K9LCGD8U1M/K9HDGD8U5M/SDTNMN
  3. 所属分类:其它

    • 发布日期:2019-09-02
    • 文件大小:6291456
    • 提供者:weixin_38744435
  1. HP v155w 8g量产工具.rar

  2. 软件介绍: 今天入手一个PNY双子星8G优盘,结果发现不再是UT165的了,四处找资料,发现PNY用慧荣SM的概率最大。(这款U盘也有说用擎泰SK6211的)。先试了SM3252,结果不行,才听说这个很可能用了SM3255AB,一实验,果真。自己也没弄过SM的,网上也没有3255的教程,而且用3252的很可能不成功!自己试验了将近30次,才算完事...(还好U盘没让我搞挂掉)其实教程算不上,自己弄了半天,算是成功了,权当做一个教程。量产需要5步:1.点击刷新scan USB2.看看量产工具
  3. 所属分类:其它

    • 发布日期:2019-08-30
    • 文件大小:4194304
    • 提供者:weixin_38744435
« 1 2 3 4 5 6 7 89 10 11 »