您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. fpga数字电压表设计

  2. fpga数字电压表设计报告 附实验具体电路图
  3. 所属分类:硬件开发

    • 发布日期:2009-07-29
    • 文件大小:314368
    • 提供者:zhc26
  1. 基于FPGA的数字电压表

  2. 目 录 1系统设计 3 1.1 控制模块方案的比较 3 1.2 A/D转换方案的比较 4 1.3 显示方案的比较 4 1.4 总体方案设计 5 1.5 系统的基本原理 5 2 单元电路设计 6 2.1 A/D转换部分 6 2.1.1 ADC0809工作原理 6 2.1.2 ADC0809工作时序 7 2.1.3 档位控制电路 8 2.2 FPGA功能模块的设计 8 2.2.1 码制变换模块 8 2.2.2 显示控制及驱动模块 9 3 软件设计 9 3.1 开发软件及编程语言简介 9 3.2 程
  3. 所属分类:硬件开发

    • 发布日期:2009-09-24
    • 文件大小:138240
    • 提供者:liyanqingyang
  1. 基于FPGA数字电压表的设计

  2. 基于FPGA数字电压表的设计的第一部分....................
  3. 所属分类:硬件开发

    • 发布日期:2009-12-29
    • 文件大小:15360
    • 提供者:a565233400
  1. 数字电压表设计的PPT文件

  2. 基于FPGA的很详细的PPT。。是基于XILINX的SPARTEN-3E系列
  3. 所属分类:硬件开发

    • 发布日期:2010-06-10
    • 文件大小:684032
    • 提供者:lvpeng7446281
  1. 基于EDA的数字电压表的设计

  2. 该设计通过对FPGA芯片进行VHDL语言编程并在EDA实验箱上进行调试,实现了数字电压表的基本功能。首先,通过状态机方法对ADC0809进行采样控制,然后把采样信号转换为BCD码。在对信号进行译码之后,通过三位数码管进行显示。 本设计利用了VHDL强大的电路描述和建模能力,从而大大简化了硬件设计任务,提高了设计效率。
  3. 所属分类:教育

    • 发布日期:2011-05-23
    • 文件大小:730112
    • 提供者:zjb198731
  1. 基于FPGA数字电压表设计

  2. 本设计的特点在于能够测量的电压范围宽(0~50VDC),主要采用了分压原理,该系统具有集成度高、灵活性强、易于开发和维护等特点。(50页详细论文,附有详细代码)
  3. 所属分类:嵌入式

    • 发布日期:2011-08-07
    • 文件大小:289792
    • 提供者:zl3012008225
  1. 基于FPGA数字电压表的设计

  2. 基于FPGA数字电压表的设计
  3. 所属分类:硬件开发

    • 发布日期:2008-06-02
    • 文件大小:1048576
    • 提供者:zxc601
  1. 基于FPGA的数字电压表

  2. 该代码是用VHDL编写的数字电压表,代码的移植性很好
  3. 所属分类:嵌入式

    • 发布日期:2015-06-23
    • 文件大小:15728640
    • 提供者:qishi2014
  1. 基于FPGA的电压采集系统

  2. FPGA接AD模块通过数码管显示电压数据,将模拟信号转换成数字信号进而通过数码管实时显示电压,相当于一个简易的电压表。
  3. 所属分类:硬件开发

    • 发布日期:2018-05-20
    • 文件大小:3145728
    • 提供者:nonamewei
  1. 基于FPGA的数字电压表.rar

  2. 使用FPGA控制AD0809,设计一个量程为5V的数字电压表。采用3为数码管显示电压值,可以显示小数点后两位。文件包括源代码和仿真图。
  3. 所属分类:硬件开发

    • 发布日期:2019-05-26
    • 文件大小:6291456
    • 提供者:m0_37213943
  1. 基于FPGA的Verilog语言的数字电压表

  2. 性能: ① 用EDA实训仪的I/O设备和PLD芯片实现数字电压表的设计,用4只八段数码管显示被测电压的结果。 ② 测量范围为0V~5V,测量精度为0.001V。 ③ 可以用EDA实训仪上的A/D输入端口直接测量直流电压。 ④ 测试仪应具有安全性和可靠性。
  3. 所属分类:其它

    • 发布日期:2019-07-26
    • 文件大小:993280
    • 提供者:carzy_apple
  1. 直流数字电压表的设计仿真与制作.pdf

  2. 直流数字电压表的设计仿真与制作,利用集成3位半或4位半的A/D转换器及显示译码驱动电路设计实现直流数字电压表的基本功能( 也可以利用FPGA或单片机系统设计实现)。
  3. 所属分类:硬件开发

    • 发布日期:2020-01-03
    • 文件大小:1048576
    • 提供者:qq_40259429
  1. 基于FPGA的数字电压表设计.rar

  2. 使用verilog语言在quartusⅡ17.1平台上编写各个控制模块程序,实现对 ALINX AN108 AD/DA硬件模块的控制。通过DA通道将8位数字电压信号通过AD9708芯片输出模拟电压,再用AD通道输入模拟信号通过AD9280转化为8位数字电压信号。将ADC输入的8位电压信号传递给FPGA cycloneⅣ 芯片,经过二进制转BCD处理,将转化后的电压值信号传递给数码管进行显示。
  3. 所属分类:硬件开发

    • 发布日期:2020-07-14
    • 文件大小:10485760
    • 提供者:weixin_43586860
  1. 基于FPGA的数字电压表的设计

  2. 本设计利用ADC0809作为电压采样端口,FPGA作为系统的核心器件,用LED(发光二极管)进行数码显示。
  3. 所属分类:其它

    • 发布日期:2020-08-07
    • 文件大小:73728
    • 提供者:weixin_38743084
  1. 基于FPGA的数字电压表设计

  2. 此次设计主要应用的软件是美国ALTERA公司自行设计的Quartus II。本次所设计的电压表的测量范围是0~5V,精度为0.01V。此电压表的设计特点为:通过软件编程下载到硬件实现,设计周期短,开发效率高。
  3. 所属分类:其它

    • 发布日期:2020-08-08
    • 文件大小:74752
    • 提供者:weixin_38679045
  1. 基于FPGA的新型数字电压表设计

  2. 本文采用National Semiconductor。公司性能优越的8位A/D转换器ADC0809对模拟电压采样,以一片高性能FPGA芯片为控制核心,以软件实现了诸多硬件功能,对电压信号的转换结果进行准确实时的运算处理并送出显示。系统的主要功能都集成在一块芯片上,大大减少了系统的分立元件数量,降低了功耗,增加了可靠性,较好地实现了电压的精准测量。
  3. 所属分类:其它

    • 发布日期:2020-10-19
    • 文件大小:311296
    • 提供者:weixin_38665122
  1. 单片机与DSP中的基于单片机的高精度智能交直流电压数据采集系统设计

  2. 电压是电子与电力系统中最基本的测量元素之一,快速准确地获取电压值一直是数据采集与电子测量仪器研究的重要内容之一。传统的指针式电压表具有精度低、可视距离近、功能单一等缺陷,已不适应高速信息化的发展需要。目前市场上广泛使用的数字电压表智能化程度低,测量电压时需手动切换量程,当量程选择不当时会出现测量精度下降、乃至烧坏电压表的极端情况; 而高精度的全量程无档数字电压表一般都采用了DSP、FPGA或CPLD等复杂电路系统, 硬件和软件实现成本较高。为此,笔者设计研制出了一种以单片机为控制主体的智能交流直
  3. 所属分类:其它

    • 发布日期:2020-10-19
    • 文件大小:310272
    • 提供者:weixin_38613330
  1. 消费电子中的基 于单片机的高精度智能交直流电压数据采集系统设计

  2. 电压是电子与电力系统中最基本的测量元素之一,快速准确地获取电压值一直是数据采集与电子测量仪器研究的重要内容之一。传统的指针式电压表具有精度低、可视距离近、功能单一等缺陷,已不适应高速信息化的发展需要。目前市场上广泛使用的数字电压表智能化程度低,测量电压时需手动切换量程,当量程选择不当时会出现测量精度下降、乃至烧坏电压表的极端情况; 而高精度的全量程无档数字电压表一般都采用了DSP、FPGA或CPLD等复杂电路系统, 硬件和软件实现成本较高。为此,笔者设计研制出了一种以单片机为控制主体的智能交流直
  3. 所属分类:其它

    • 发布日期:2020-10-19
    • 文件大小:310272
    • 提供者:weixin_38697808
  1. EDA/PLD中的基于FPGA的数字电压表的设计

  2. 0 引 言  传统的数字电压表设汁通常以大规模ASIC(专用集成电路)为核心器件,并辅以少量中规模集成电路及显示器件构成。ASIC完成从模拟量的输入到数字量的输出,是数字电压表的心脏。这种电压表的设计简单、精确度高,但是这种设计方法由于采用了ASIC器件使得它欠缺灵活性,其系统功能固定,难以更新扩展。后来发展起来的用微处理器(单片机)控制通用A/D转换器件的数字电压表的设计的灵活性明显提高,系统功能的扩展变得简单,但是由于微处理器的引脚数量有限,其控制转换速度和灵活性还是不能满足日益发展的电子工
  3. 所属分类:其它

    • 发布日期:2020-12-03
    • 文件大小:76800
    • 提供者:weixin_38535808
  1. 基于FPGA的数字电压表的设计

  2. 0 引 言  传统的数字电压表设汁通常以大规模ASIC(专用集成电路)为器件,并辅以少量中规模集成电路及显示器件构成。ASIC完成从模拟量的输入到数字量的输出,是数字电压表的心脏。这种电压表的设计简单、度高,但是这种设计方法由于采用了ASIC器件使得它欠缺灵活性,其系统功能固定,难以更新扩展。后来发展起来的用微处理器(单片机)控制通用A/D转换器件的数字电压表的设计的灵活性明显提高,系统功能的扩展变得简单,但是由于微处理器的引脚数量有限,其控制转换速度和灵活性还是不能满足日益发展的电子工业的需求
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:75776
    • 提供者:weixin_38606019
« 12 3 »