您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. Ubuntu实用学习教程 ─────Ubuntu菜鸟教程

  2.  Ubuntu 完全基于 Linux 操作系统, 可以免费得到社区及专业机构的支持。庞大的社区是它成长的沃土,请向这片动人的热忱敞开心扉。   自由,让 Ubuntu 与传统的私有软件从根本上不同: 免费不能用来遮羞,您有权修正它,直到满意为止。   Ubuntu 适合桌面和服务器。当前 Ubuntu 发布版支持 PC (Intel x86), 64-bit PC (AMD64) 和owerPC (Apple iBook 和 Powerbook, G4 和 G5) 架构。   Ubuntu 包
  3. 所属分类:Linux

    • 发布日期:2010-04-29
    • 文件大小:110592
    • 提供者:fangliang505
  1. ubuntu实用学习教程

  2. 实用学习教程  Ubuntu 完全基于 Linux 操作系统, 可以免费得到社区及专业机构的支持。庞大的社区是它成长 的沃土,请向这片动人的热忱敞开心扉。   自由,让 Ubuntu 与传统的私有软件从根本上不同: 免费不能用来遮羞,您有权修正它,直到满意 为止。   Ubuntu 适合桌面和服务器。当前 Ubuntu 发布版支持 PC (Intel x86), 64-bit PC (AMD64) 和 PowerPC (Apple iBook 和 Powerbook, G4 和 G5) 架构。
  3. 所属分类:Web开发

    • 发布日期:2010-05-12
    • 文件大小:159744
    • 提供者:wushanpengda
  1. molebox解包器

  2. 由MOLEBOX打包出来,现在不知道版本,用PEID查是MOLEBOX 2.0,用FASTSCANNER查是molebox 2.3. ' o5 G7 V/ g5 _7 {|脱壳|破解|游戏安全|软件安全|安全找了网上几乎所有的脚本,CCDebuger老大的只能对付新版,用在这上面直接出EIP异常|脱壳|破解|游戏安全|软件安全|安全7 \& @4 T3 @# [ Molebox 2.x Unpacker and OEP Finder by Cherry(mbunpack.rar) 6 f) e
  3. 所属分类:网络攻防

    • 发布日期:2010-06-03
    • 文件大小:225280
    • 提供者:wsc2538
  1. 基于EDA的六层电梯控制器

  2. 基于EDA的六层电梯控制器 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity dianti is port ( clk : in std_logic; --时钟信号(频率为2Hz) full,deng,quick,clr : in std_logic; --超载、关门中断、提前关门清除报警信号 c_u1,c_u2,c_u
  3. 所属分类:C

    • 发布日期:2010-06-29
    • 文件大小:35840
    • 提供者:zhanghaobuhui
  1. Ubuntu_Linux实用学习教程

  2.  Ubuntu 完全基于 Linux 操作系统, 可以免费得到社区及专业机构的支持。庞大的社区是它成长 的沃土,请向这片动人的热忱敞开心扉。   自由,让 Ubuntu 与传统的私有软件从根本上不同: 免费不能用来遮羞,您有权修正它,直到满意 为止。   Ubuntu 适合桌面和服务器。当前 Ubuntu 发布版支持 PC (Intel x86), 64-bit PC (AMD64) 和 PowerPC (Apple iBook 和 Powerbook, G4 和 G5) 架构。
  3. 所属分类:Linux

    • 发布日期:2010-06-29
    • 文件大小:159744
    • 提供者:fenyuxiao
  1. Canon_Digital_Camera_SDK__CD-SDK_v7.3_

  2. CD-SDK v7.3(最终版) | 7430 kb CD-SDK v7.3 支持的相机型号: PowerShot Pro 1, PowerShot G6, PowerShot G5, PowerShot G3, PowerShot G2, PowerShot G1, PowerShot S2 IS, PowerShot S1 IS, PowerShot S70, PowerShot S60, PowerShot S50, PowerShot S45, PowerShot S40, Power
  3. 所属分类:Web开发

    • 发布日期:2010-08-15
    • 文件大小:5242880
    • 提供者:aliuzq
  1. Ubuntu+Linux实用学习教程

  2. Ubuntu 完全基于 Linux 操作系统, 可以免费得到社区及专业机构的支持。庞大的社区是它成长 的沃土,请向这片动人的热忱敞开心扉。   自由,让 Ubuntu 与传统的私有软件从根本上不同: 免费不能用来遮羞,您有权修正它,直到满意 为止。   Ubuntu 适合桌面和服务器。当前 Ubuntu 发布版支持 PC (Intel x86), 64-bit PC (AMD64) 和 PowerPC (Apple iBook 和 Powerbook, G4 和 G5) 架构。   Ubunt
  3. 所属分类:Linux

    • 发布日期:2010-08-21
    • 文件大小:110592
    • 提供者:chaoyuezhe11
  1. NewSID-生成新的安全标识符

  2. SID也就是安全标识符(Security Identifiers),是标识用户、组和计算机帐户的唯一的号码。在第一次创建该帐户时,将给网络上的每一个帐户发布一个唯一的 SID。Windows 2000 中的内部进程将引用帐户的 SID 而不是帐户的用户或组名。如果创建帐户,再删除帐户,然后使用相同的用户名创建另一个帐户,则新帐户将不具有授权给前一个帐户的权力或权限,原因是该帐户具有不同的 SID 号。安全标识符也被称为安全 ID 或 SID。 ( z4 d, V, Z& F! W2 D3 A
  3. 所属分类:C

    • 发布日期:2010-08-24
    • 文件大小:228352
    • 提供者:wenbao6399
  1. Ubuntu Linux实用学习教程

  2.  Ubuntu 完全基于 Linux 操作系统, 可以免费得到社区及专业机构的支持。庞大的社区是它成长 的沃土,请向这片动人的热忱敞开心扉。   自由,让 Ubuntu 与传统的私有软件从根本上不同: 免费不能用来遮羞,您有权修正它,直到满意 为止。   Ubuntu 适合桌面和服务器。当前 Ubuntu 发布版支持 PC (Intel x86), 64-bit PC (AMD64) 和 PowerPC (Apple iBook 和 Powerbook, G4 和 G5) 架构。   Ubun
  3. 所属分类:Linux

    • 发布日期:2010-09-20
    • 文件大小:159744
    • 提供者:qq19023006
  1. 苹果xsan相关资料

  2. 一、绪论(Introduction) 现在大家都有机会对多达几个TB的数据进行快速、并发的访问了:那就是借助于Xsan----运行在Mac OS X上的SAN文件系统。在其优秀的服务器和存储器的产品家族----Xserve G5,Xserve RAID and Mac OS X Server----中再加入Xsan,现在Apple公司可以提供强大的、可扩充的存储局域网络解决方案Xsan。该方案简单易行且安全可靠。 Xsan是一个64位的集群文件系统,专为满足要求高可用性的数据访问而设计,通用于
  3. 所属分类:网络基础

    • 发布日期:2010-10-02
    • 文件大小:103424
    • 提供者:cq109911
  1. android 手机USB驱动

  2. android手机驱动,里面有32位和64位电脑的驱动。供刷机的机友使用。
  3. 所属分类:Android

    • 发布日期:2010-11-08
    • 文件大小:6291456
    • 提供者:yimo29
  1. flac岩石模拟软件

  2. 岩石应力应变过程分析软件。lac3D>title Job Title:slope under gravitational load Flac3D>grid 20 10 Flac3D>print zone gp Zone Gp Connections ... id g0 g1 g2 g3 g4 g5 g6 g7 ------ ------ ------ ------ ------ ------ ------ ------ ------ Flac3D>new
  3. 所属分类:专业指导

    • 发布日期:2010-11-18
    • 文件大小:1048576
    • 提供者:rulaifouzu
  1. 基于FPGA电梯控制器VHDL程序与仿真。

  2. 6层楼的电梯控制系统。 entity dianti is port ( clk : in std_logic; --时钟信号(频率为2Hz) full,deng,quick,clr : in std_logic; --超载、关门中断、提前关门清除报警信号 c_u1,c_u2,c_u3,c_u4,c_u5: in std_logic; --电梯外人的上升请求信号 c_d2,c_d3,c_d4,c_d5,c_d6 : in std_logic; --电梯外人的下降请求信号 d1,d2,d3,d4,
  3. 所属分类:C

    • 发布日期:2010-12-02
    • 文件大小:258048
    • 提供者:TOMORROW_LIU
  1. 微软活动目录管理管理简明手册

  2. 在基于Windows 2000网络中,活动目录(Active Directory)是它的核心。活动目录是一个分布式的目录服务。网络信息可以分散在多台不同的计算机上,保证快速访问和容错;同时不管用户从何处访问或信息处在何处,对用户都提供统一的视图。可以这样说:没有活动目录,就没有Windows 2000。7 E9 e* I* K; J 8 F# j3 ^7 j$ j一、活动目录基础 6 z) K" V O6 _, k$ m$ F0 D(一)活动目录概览( X# G1 c6 B+ z* g$ f9
  3. 所属分类:C

    • 发布日期:2010-12-08
    • 文件大小:1048576
    • 提供者:shangzhenhui
  1. 个人设计作业网页,宠物网

  2. 设计方案 一、前言 Pets Supplies有限公司是获得由上海市公安局审发的《犬类销售养殖许可证》、由上海市畜牧办审发的《动物诊疗许可证》、由上海市兽医卫生监督检验所审发的《动物防疫合格证》。集养殖、销售、医疗、美容、寄养及用品销售于一体的超大型综合性的宠物公司。 公司主要经营内容包括:猫类、狗类和鸟类等宠物及相关产品的销售。 二、需求分析 1. Pets Supplies的公司性质及销售与服务于一体,因此,必须在网站中建立完善的产品展示系统和( 15. 完善的服务信息展示 )系统。 2.
  3. 所属分类:Flash

    • 发布日期:2010-12-23
    • 文件大小:709632
    • 提供者:firstlove911
  1. 六层电梯控制器的VHDL设计

  2. VHDL控制器 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity dianti is port ( clk : in std_logic; --时钟信号(频率为2Hz) full,deng,quick,clr : in std_logic; --超载、关门中断、提前关门清除报警信号 c_u1,c_u2,c_u3,c_u4
  3. 所属分类:C

    • 发布日期:2010-12-24
    • 文件大小:258048
    • 提供者:jsycjxx
  1. 佳宜进销存SQL网络版

  2. 佳宜进销存SQL网络版是佳宜进销存管理软件 V4.22 (sql网络版) 内存注册机+去暗桩补丁 ) B5 g5 M9 R w5 ] + a6 Q3 |% X) s& e3 Y2 ^佳宜系列软件我会轮一遍(有SQL版就只轮SQL版,非SQL版可单独联系我),完事后轮里诺+ e% J! z% `& ^3 l$ Y8 I1 \ 6 g; L3 z: M2 s) q1 z5 |大家有钱的就支持下正版吧 _& [$ M- ]# t5 Y) I
  3. 所属分类:系统安全

    • 发布日期:2011-03-20
    • 文件大小:5242880
    • 提供者:tongtaidn
  1. ubuntu部落PDF

  2. 欢迎来到Ubuntu 部落 Ubuntu 的发音 Ubuntu,源于非洲祖鲁人和科萨人的语言,发作 oo-boon-too 的音。了解发音是有意义的, 您不是第一个为此困惑的人,当然,也不会是最后一个:) 大多数的美国人读 ubuntu 时,将 u 作为元音发音,类似单词 who 或者 boo ,重音在第 二个音节即 u'buntu ,oo-boon-too 。 如果您喜欢撒哈拉,喜欢它令人窒息的温柔、梦幻般的寂寥还有张扬恣肆的旷远,您大可 在第一个 u,后面带些嗡嗡声: oom-boon-t
  3. 所属分类:Ubuntu

    • 发布日期:2011-03-30
    • 文件大小:900096
    • 提供者:zygysat1989
  1. 世界权威的品牌精【美苹果图标】

  2. 苹果电脑公司由斯蒂夫·乔布斯、斯蒂夫·盖瑞·沃兹尼亚克和Ronald Gerald Wayne在 1976年4月1日创立。1975年春天,AppleⅠ由Wozon设计,并被Byte的电脑商店购买了50台当时售价为666.66美元的AppleⅠ。1976年,Woz完成了AppleⅡ的设计。 苹果公司logo 1977年苹果正式注册成为公司,并启用了沿用至今的新苹果标志。同时,苹果也获得了第一笔投资——Mike Markkula的92000美元.苹果股份有限公司(Apple Inc.,简称苹果公司
  3. 所属分类:游戏开发

    • 发布日期:2011-04-01
    • 文件大小:7340032
    • 提供者:smart_books
  1. 华为专题华为资料学习华为

  2. 1、目前,我国应用最为广泛的LAN标准是基于()的以太网标准.Q^ UC(r0(A) IEEE 802.1IZer's Blog(博客) \b-S6C)}2a6` (B) IEEE 802.2 wj.y4ND+J$r0(C) IEEE 802.3IZer's Blog(博客)*C_!i,o)V (D) IEEE 802.5 IZer's Blog(博客)/P amZ*bs,w/d3Y&c xV-V[Y]`3[|]p.[02、对于这样一个地址,192.168.19.255/20,下列说法正确的
  3. 所属分类:网络基础

    • 发布日期:2011-06-03
    • 文件大小:294912
    • 提供者:yukequan
« 1 2 3 4 5 67 8 9 10 11 ... 15 »