实体定义如下: entity p_s2m_onechnl is port( reset : in std_logic; fck32m : in std_logic; clk32m : in std_logic; out2mhw_p : in std_logic_vector(7 downto 0); out2mhw_s : out std_logic ); end p_s2m_onechnl;
#Zip的网址
这些是可接受的标志
-dbhost string
the postgres database host (default "localhost")
-dbname string
the database name
-dbpass string
the database password
-dbport int
the database port (default 5432)
-dbsslmode