您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 矩阵键盘扫描和PS/2键盘解码(C语言版)

  2. 用飞思卡尔单片机MC9S12XS 单片机解码PS/2 键盘, 4*4矩阵键盘解码,已写成头文件形式,PS/2相关资料请浏览我的资源
  3. 所属分类:硬件开发

    • 发布日期:2009-06-20
    • 文件大小:2048
    • 提供者:halipotehhh
  1. PS2开发资料(用于单片机解码电脑鼠标键盘数据)

  2. 电脑PS2鼠标键盘的接口协议, 可用单片机去接收.
  3. 所属分类:硬件开发

    • 发布日期:2009-10-15
    • 文件大小:3145728
    • 提供者:gxyzhq
  1. ps2解码,通过串口发送到上位机,可以直观的看到PS2键盘的按键码

  2. ps2解码,通过串口发送到上位机,可以直观的看到PS2键盘的按键码
  3. 所属分类:其它

    • 发布日期:2010-07-30
    • 文件大小:20480
    • 提供者:bzl200888
  1. ps2矩阵键盘模块,键盘解码板

  2. 本文仅仅是一种设备的功能与性能介绍,不涉及技术细节,至于功能如何实现等技术方面的问题在本文中未提到,若您希望从本文中了解技术实现方面的内容,请直接绕行。 介绍了一种键盘解码板,可以与客户自定制的矩阵键盘或者薄膜键盘链接,组成一个键盘,该模块输入为8×8矩阵接口,输出为标准ps2键盘信号,亦可通过转接线转为usb键盘信号输出。
  3. 所属分类:嵌入式

    • 发布日期:2011-03-28
    • 文件大小:63488
    • 提供者:yuleiguo
  1. 单片机的PS2鼠标控制

  2. 了解PS2鼠标键盘协议 学会分析简单的数字信号和使用单片机捕捉及解码新号
  3. 所属分类:嵌入式

    • 发布日期:2011-04-05
    • 文件大小:797696
    • 提供者:abszy
  1. ps2键盘解码 嵌入式ps2键盘解码

  2. ASCII码表.doc PS2键盘在嵌入式系统中的应用研究.pdf ps2技术参考.pdf 单片机系统中PS/2键盘驱动程序的设计.pdf 单片机系统中PS2键盘驱动程序设计.txt 都在里面很全 适合开发使用!
  3. 所属分类:硬件开发

    • 发布日期:2011-11-07
    • 文件大小:1016832
    • 提供者:a398613391
  1. 单片机教程和实例程序

  2. 包括单片机教程和源码: 例程(1)-LED驱动 例程(2)-按键输入 例程(3)-流水灯 例程(4)-数码管静态显示 例程(5)-数码管动态显示 例程(6)-矩阵键盘 例程(7)-外部中断 例程(8)-定时器 例程(9)-串口通信 例程(10)-内部EEPROM 例程(11)-用PCA扩展外部中断 例程(12)-用PCA实现定时器 例程(13)-用PCA输出高速脉冲 例程(14)-用PCA输出PWM 例程(15)-模数转换器ADC 例程(16)-数模转换器DAC 例程(17)-LCD1602液
  3. 所属分类:硬件开发

    • 发布日期:2011-12-20
    • 文件大小:13631488
    • 提供者:cyuying
  1. verilog PS2键盘解码程序

  2. PS/2键盘编解码以及数据传输协议,这次自己动手实现了利用FPGA接收键盘编码,然后通过串口传输到PC。做的比较简单,只是通过FPGA把大写字母A-Z转换成相应的ASCII码,只要字母按键被按下,就能在串口调试助手里显示相应大写字母。
  3. 所属分类:硬件开发

    • 发布日期:2012-05-03
    • 文件大小:16384
    • 提供者:zhangqinguili
  1. 单片机c语言程序(内含50个)

  2. 1-IO端口输出 2-IO端口输入 3-流水灯 4-数码管显示 5-数码管动态扫描演示 6-独立按键 7-独立按键去抖动 8-定时器0 9-定时器1 10-定时器2 11-外部中断0电平触发 12-外部中断0边沿触发 13-外部中断1 14-矩阵键盘 15-液晶LCM1602 16-LCD1602动态显示 17-EEPROM24c02 18-开机次数记忆 19-红外解码LCD1602液晶显示 20-红外解码数码管显示 21-喇叭 22-液晶背光控制 23-与电脑串口通信 24-步进电机 25-
  3. 所属分类:C

    • 发布日期:2012-07-12
    • 文件大小:719872
    • 提供者:biransangchen
  1. ps2键盘解码基础实验与串口通信进阶实验

  2. ps2键盘解码基础实验与串口通信进阶实验报告 与实验心得
  3. 所属分类:硬件开发

    • 发布日期:2012-10-13
    • 文件大小:134144
    • 提供者:dingdingmemory
  1. mini80开发板说明书

  2. 整体功能 1、8个高亮数码管显示(数字和字母显示); 2、16 个优质按键,可配置成4个独立按键和4*4矩阵键盘(人机接口输入); 3、8 个高亮发光二极管(跑马灯、指示灯、红绿灯等); 4、标准的RS232 通信接口(PC通信); 5、一体化红外接收头(高灵敏度,可做红外遥控器解码); 6、遥控器用红外发射管(广发射角)可以模拟遥控器; 7、喇叭(报警以及音乐播放); 8、一路继电器输出(弱电控制强电,隔离控制); 9、EEPROM 24C02(数据存储); 10、8X8单色点阵; 11、D
  3. 所属分类:硬件开发

    • 发布日期:2013-04-06
    • 文件大小:1048576
    • 提供者:u010160335
  1. AVR128配套实验程序,包括定时器,EEPROM,18B20,中断,AT24C02,PWM,PS2键盘,红外解码,AD,液晶显示等等,全配套

  2. AVR128配套实验程序,包括定时器,EEPROM,18B20,中断,AT24C02,PWM,PS2键盘,红外解码,AD,液晶显示等等,全配套
  3. 所属分类:C/C++

    • 发布日期:2013-04-25
    • 文件大小:1048576
    • 提供者:u010457177
  1. PS2键盘解码

  2. 用51单片机实现的ps2键盘解码 ,c语言编写
  3. 所属分类:C/C++

    • 发布日期:2013-08-26
    • 文件大小:23552
    • 提供者:u010539271
  1. 89S51读取PS2键盘

  2. 本程序主要通过外部中断INT0及3.3端口读取PS2键盘值并通过LCD1602显示,键扫描码的解码通过数组方式解码,程序的解码功能主要针对数字及大小写字母和常用标点符号
  3. 所属分类:C/C++

    • 发布日期:2008-10-16
    • 文件大小:51200
    • 提供者:caoxing18
  1. FPGA例程大全

  2. LCD显示、LCD显示波形、AD采集、DA转换、IIC协议EERO、PS2键盘VGA钢琴、PS2键盘解码、PS2鼠标实验、SPI协议DS1302、VGA显示驱动、并行流水灯、拨码流水灯、串口收发、独立按键消抖动、蜂鸣器奏音乐、红外遥控通信、数码管动态显示、数码管静态显示、贪吃蛇、温度传感DS18B20……
  3. 所属分类:硬件开发

    • 发布日期:2015-09-08
    • 文件大小:33554432
    • 提供者:wjwj1991
  1. 基于FPGA的PS2接口设计.pdf

  2. 本实验 的内容是 学习 PS2 PS2键盘的解码,并将结果 通过 数码管 显示 出来,同时为了 能够 让大家更加直观的感受到按键被下和释放,我们在 让大家更加直观的感受到按键被下和释放,我们在 让大家更加直观的感受到按键被下和释放,我们在 让大家更加直观的感受到按键被下和释放,我们在 让大家更加直观的感受到按键被下和释放,我们在 这里增加了一个蜂鸣器,当有按键下或释放 这里增加了一个蜂鸣器,当有按键下或释放 这里增加了一个蜂鸣器,当有按键下或释放 这里增加了一个蜂鸣器,当有按键下或释放 (包括
  3. 所属分类:硬件开发

    • 发布日期:2019-05-23
    • 文件大小:1048576
    • 提供者:zhixinmengyi
  1. PS2键盘编码Verilog源程序

  2. 之前探讨过PS/2键盘编解码以及数据传输协议,这次自己动手实现了利用FPGA接收键盘编码,然后通过串口传输到PC。做的比较简单,只是通过FPGA把大写字母A-Z转换成相应的ASCII码,只要字母按键被按下,就能在串口调试助手里显示相应大写字母。下面就共享代码吧!
  3. 所属分类:其它

    • 发布日期:2020-07-31
    • 文件大小:50176
    • 提供者:weixin_38631042
  1. EDA/PLD中的verilog PS2键盘解码程序

  2. 之前探讨过PS/2键盘编解码以及数据传输协议,这次自己动手实现了利用FPGA接收键盘编码,然后通过串口传输到PC。做的比较简单,只是通过FPGA把大写字母A-Z转换成相应的ASCII码,只要字母按键被按下,就能在串口调试助手里显示相应大写字母。下面就共享代码吧!   除了顶层模块,三个底层模块分别为PS/2传输处理模块、串口传输模块以及串口波特率选择模块(下面只给出顶层模块和PS/2传输处理模块的verilog代码)。module ps2_key(clk,rst_n,ps2k_clk,ps2k
  3. 所属分类:其它

    • 发布日期:2020-11-07
    • 文件大小:51200
    • 提供者:weixin_38624183
  1. 基于C的PS2键盘解码实验源码

  2. 摘要:VC/C++源码,系统相关,PS2键盘解码,单片机控制  基于C的PS2键盘解码实验源码,属于51单片机的范畴,注意:如SHIFT+G为12H 34H F0H 34H F0H 12H,也就是说shift的通码+G的通码+shift的断码+G的断码,当中断10次后表示一帧数据收完,清变量准备下一次接收,当键松开时不处理判码,如G 34H F0H 34H 那么第二个34H不会被处理,再次注意:若晶振速度太高可以在这后加小的延时。
  3. 所属分类:其它

    • 发布日期:2021-03-17
    • 文件大小:23552
    • 提供者:weixin_38644599
  1. verilog PS2键盘解码程序

  2. 之前探讨过PS/2键盘编解码以及数据传输协议,这次自己动手实现了利用FPGA接收键盘编码,然后通过串口传输到PC。做的比较简单,只是通过FPGA把大写字母A-Z转换成相应的ASCII码,只要字母按键被按下,就能在串口调试助手里显示相应大写字母。下面就共享代码吧!   除了顶层模块,三个底层模块分别为PS/2传输处理模块、串口传输模块以及串口波特率选择模块(下面只给出顶层模块和PS/2传输处理模块的verilog代码)。module ps2_key(clk,rst_n,ps2k_clk,ps2k
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:50176
    • 提供者:weixin_38641764
« 12 »