您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. Two Port RAM实验例程

  2. Two Port RAM实验例程 (异步电路)
  3. 所属分类:专业指导

    • 发布日期:2009-05-16
    • 文件大小:220160
    • 提供者:pengwangguo
  1. 计算机组成原理(实验机器自带软件dais )

  2. ;带移位运算的模型机的设计与实现 P00 00 ;IN R0,SW ;数据开关→R0 P01 20 0E ;ADD R0,0EH ;R0+(0EH)→R0 P03 10 ;RLC R0 ;R0带进位左移 P04 A0 ;RR R0 ;R0右移 P05 00 ;IN R0,SW ;数据开关→R 0 P06 C0 ;RRC R0 ;R0带进位右移 P07 E0 ;RL R0 ;R0左移 P08 40 0F ;STA 0FH,R0 ;R0→(0FH) P0A 60 0F ;OUT 0FH,LED ;
  3. 所属分类:C

    • 发布日期:2009-06-12
    • 文件大小:1048576
    • 提供者:tom31203120
  1. 实验二 半导体存储器原理实验

  2. 1. 实验目的与要求: 目的:1、掌握静态存储器的工作特性及使用方法。 2、掌握半导体随机存储器怎样存储和读出数据。 要求:实验前,要求做好实验预习,掌握6116型RAM存储器的功能特性和使用方法。 实验过程中,要认真进行实验操作,仔细思考实验有关的内容,把自己想得不太明白的问题通过实验去理解清楚,争取得到最好的实验结果,达到预期的实验教学目的。 实验完成后,要求每个学生写出实验报告。
  3. 所属分类:专业指导

    • 发布日期:2009-06-18
    • 文件大小:68608
    • 提供者:xx9818798
  1. VHDL语言的FPGA小实验

  2. 适合初学者作为练习和巩固的文件 实验一 运算器组成实验 5 1.算术逻辑运算实验 5 2.带进位算术运算实验 8 3. 移位运算器实验 9 实验二 存储器实验 10 1、FPGA中LPM_ROM配置与读出实验 10 2.LPM_RAM_DP双端口RAM实验 11 3. FIFO读/写实验 13 4. FPGA与外部RAM接口实验 14 5.FPGA与外部EEPROM接口实验 16 实验三 微控制器实验 17 1 时序电路实验 17 2.程序计数器PC与地址寄存器AR实验 18 3.微控制器组成
  3. 所属分类:硬件开发

    • 发布日期:2010-02-02
    • 文件大小:2097152
    • 提供者:xiaoy131677
  1. ram 课件 周立功主编

  2. ram 是一个热门的东东,现在很多人都在研究它,现将资料共享,希望大家喜欢。
  3. 所属分类:硬件开发

    • 发布日期:2010-04-01
    • 文件大小:8388608
    • 提供者:zj10707020222
  1. 操作系统实验的教程与指导课件

  2. 讲授内容 操作系统基础知识 Unix 和Linux 操作系统环境下使用的基本命令 操作系统模拟算法的实验 Unix 和Linux 操作系统环境下的系统编程以及 Windows编程API 时间分配 讲授 16 上机实验 16 成绩考查方法 上机作业和实验报告 计算机系统的组成 操作系统的定义 操作系统的功能和特征 任何计算机系统都包含一个名为操作系统的基本程序集合。其中被调用的执行频率最高的程序称为内核(kernel)。当操作系统启动时,内核被装入到主存RAM中。 (1)提供用户接口,控制程序的
  3. 所属分类:Linux

    • 发布日期:2010-04-06
    • 文件大小:198656
    • 提供者:kinga119
  1. 微机原理及应用 汇编语言程序设计实验

  2. 实验内容:(本实验均在WAVE6000下完成) 1.安装wave6000软件,并学习使用方法 (注意:文件/打开项目/asm51.prj,为51CPU模板,请在此项目中调试程序; 编译程序,F7单步执行,打开CPU窗口、所有数据窗口观察寄存器和RAM区) 2.把长度为10H的字符串从内部RAM的输入缓冲区inbuf向外部RAM的输出缓冲区outbuf进行传送,一直进行到遇到回车符CR或整个字符串传送完毕。(其中,inbuf=20h,outbuf=40h,字符串内容请自己设定)将下面的代码补齐。
  3. 所属分类:C++

    • 发布日期:2010-04-27
    • 文件大小:35840
    • 提供者:chenb6chen
  1. 8051单片机实验报告(中断,串行,数据传送)

  2. 1.试用中断技术设计一个秒闪电路,其功能是发光二极管LED每次闪亮400ms(即LED每次亮400ms,灭600ms),设主机频率为6MHz。2.假定单片机晶振频率为6MHz,要求每隔100ms,从外部ROM以data开始的数据区传送一个数据到P1输出,共传送100个数据。要求以两个定时器串行定时方法实现。3.80C51串口以方式3进行数据通信,设波特率1200bps,第9个数据作为奇校验位,以中断方式传送数据。4.试设计一个8051单片机的双机通信协议,并编写程序将A机片内RAM40H到50
  3. 所属分类:硬件开发

    • 发布日期:2010-05-15
    • 文件大小:71680
    • 提供者:gonglingjun
  1. 嵌入式学习实验材料9例

  2. 天嵌公司的嵌入式学习实验程序例子,可以作为学习参考
  3. 所属分类:硬件开发

    • 发布日期:2010-05-19
    • 文件大小:6291456
    • 提供者:jianglei102
  1. DSP 语音压缩存储与回放实验报告

  2. (1)使用DSP实现语音压缩和解压缩的基本算法,算法类型自定,例如可以采用G.711、G.729等语音压缩算法。 (2)采用A/D转换器从MIC输入口实时采集语音信号,进行压缩后存储到DSP的片内和片外RAM存储器中,存储时间不小于10秒。 (3)存储器存满之后,使用DSP进行实时解压缩,并从SPEAKER输出口进行回放输出。 (4)使用指示灯对语音存储和回放过程进行指示。 发挥部分: 使用多种算法进行语音的压缩、存储和解压缩,比较它们之间的优缺点。
  3. 所属分类:硬件开发

    • 发布日期:2010-06-08
    • 文件大小:1048576
    • 提供者:lijiajunyxl
  1. BTF340实验程序

  2. BTF340实验程序,BTF340评估板是针对C8051F340单片机片上资源及功能特点,而推出的一款开发、评估板。评估板集成了C8051F340单片机和CP2200以太网控制器、铁电存储器等经典电路,开发者可通过评估板上的各种经典电路的实际操作及附带光盘提供的完整的范例程序,迅速的掌握C8051F340单片机的软硬件设计,达到缩短产品开发周期的目的。 功能简介:(可完成的实验项目) ·10位AD转换 ·以太网通讯(CP2200) ·UART通讯·USB通讯 ·铁电存储器读写(SMBUS)·1
  3. 所属分类:C

    • 发布日期:2010-06-12
    • 文件大小:841728
    • 提供者:huazai7715323
  1. 51单片机---实验教程

  2. 基本的接口实验 ▲ 灯控制实验 ▲ 循环灯控制实验 ▲ 动态数码显示控制实验 ▲ 静态数码显示控制实验 ▲ 继电器驱动控制实验 ▲ 8X8点阵实验 ▲ RS232串行通信实验 ▲ 74LS164串/并转换实验 ▲ 4X4矩阵式键盘按键识别实验 ▲ 独立式按键识别技术实验 ▲ RAM数据存储器扩展实验 ▲ 音乐控制实验 ▲ A/D转换实验 ▲ D/A转换实验 ▲ 定时计数器基本应用实验 ▲ 中断技术基本应用 实践课题实验 ▲ 99秒表实验(定时器及数码显示的应用) ▲ 万年历时间实验(定时器、数
  3. 所属分类:硬件开发

    • 发布日期:2010-06-25
    • 文件大小:1048576
    • 提供者:newnewman80
  1. 广东工业大学计组实验报告半导体存储器原理实验二

  2. 1、实验目的与要求: (1)实验目的: ① 掌握静态存储器的工作特性及使用方法。 ② 掌握半导体随机存储器如何存储和读取数据。 (2)实验要求: ① 实验前,要求做好实验预习,掌握6116型RAM存储器的功能特性和使用方法。 ② 实验过程中,要认真进行实验操作和思考实验有关的内容,将自己不太明白的问题通过实验去理解清楚,争取得到最好的实验结果,达到预期的实验教学目的。 ……
  3. 所属分类:专业指导

    • 发布日期:2010-07-28
    • 文件大小:63488
    • 提供者:jal960
  1. 天祥 tx2440 ram实验 裸机ADS实验

  2. 天祥2440实验 ram实验 裸机ADS实验
  3. 所属分类:专业指导

    • 发布日期:2010-08-18
    • 文件大小:6291456
    • 提供者:zhju54
  1. 扩展片外 RAM实验

  2. 【实验题目】 扩展片外RAM实验 【实验目的】 掌握片外RAM扩展方法(重点是硬件接法,而程序操作很简单)。 【硬件接法】 请认真参考硬件电路图中RAM的接法。RAM映射到地址“0x8000~0xBFFF”,共16KB。注意/PSEN和/RD经过“与门”后接到RAM的/OE,这样,代码和数据都位于相同的地址空间,在RAM中可以跑程序,用“MOVC”和“MOVX”指令都能访问存储单元。 【软件编写技巧】 对于A51编程,访问片外数据RAM直接使用“MOVX”指令即可。而对于C51,需要在编译环境
  3. 所属分类:C++

    • 发布日期:2010-09-17
    • 文件大小:19456
    • 提供者:myender
  1. 在RAM中执行程序代码

  2. 【实验题目】 在RAM中执行程序代码 【实验目的】 掌握片外RAM扩展方法(重点是硬件接法,而程序操作很简单)。 弄清楚什么是“哈佛存储结构”和“冯·诺依曼存储结构”。 【硬件接法】 P1.2接交流蜂鸣器。 请认真参考硬件电路图中RAM的接法。RAM映射到地址“0x8000~0xBFFF”,共16KB。 【实验原理】 8051单片机本来是“哈佛存储结构”,程序ROM和片外数据RAM位于完全分开的存储空间。/WR和/RD信号用于访问片外数据RAM。当使用片外程序ROM时,/PSEN管脚负责读取程
  3. 所属分类:C

    • 发布日期:2010-09-17
    • 文件大小:118784
    • 提供者:myender
  1. Soc单片机实验实践与应用设计-基于C8051F系列 实验源程序

  2. 实验1 访问片上4K RAM,先从设定地址写入256个数据,再读出进行比较,看是否正确,若不正确蜂鸣器响一声
  3. 所属分类:C

    • 发布日期:2010-11-23
    • 文件大小:35840
    • 提供者:fillanzea
  1. 单片机实验报告(包含一些常见的算法以及汇编语言的实现)

  2. 偶人觉得单片机学的还可以 所以你、将实验中的一些报告上传。
  3. 所属分类:硬件开发

    • 发布日期:2010-11-26
    • 文件大小:126976
    • 提供者:dongjiankai
  1. 利用进程句柄来调用进程可用的非页面RAM容量

  2. 操作系统实验——利用进程句柄来调用进程可用的非页面RAM容量的代码。
  3. 所属分类:桌面系统

    • 发布日期:2012-12-28
    • 文件大小:2048
    • 提供者:fsturing
  1. fpga实验精华

  2. 前 言 2 实验一 运算器组成实验 1.算术逻辑运算实验 2.带进位算术运算实验 3. 移位运算器实验 实验二 存储器实验 1、FPGA中LPM_ROM配置与读出实验 2.LPM_RAM_DP双端口RAM实验 3. FIFO读/写实验 13 4. FPGA与外部RAM接口实验 14 5.FPGA与外部EEPROM接口实验 16 实验三 微控制器实验 17 1 时序电路实验 17 2.程序计数器PC与地址寄存器AR实验 18 3.微控制器组成实验 20 实验四 总线控制实验 22 二.实验原理
  3. 所属分类:嵌入式

    • 发布日期:2013-05-06
    • 文件大小:2097152
    • 提供者:qiao333777
« 12 3 4 5 6 7 8 9 10 »