您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 表单的验证

  2. 表单的验证一直是网页设计者头痛的问题,表单验证类 Validator就是为解决这个问题而写的,旨在使设计者从纷繁复杂的表单验证中解放出来,把精力集中于网页的设计和功能上的改进上。 Validator是基于Javascr ipt技术的伪静态类和对象的自定义属性,可以对网页中的表单项输入进行相应的验证,允许同一页面中同时验证多个表单,熟悉接口之后也可以对特定的表单项甚至仅仅是某个字符串进行验证。因为是伪静态类,所以在调用时不需要实例化,直接以"类名+.语法+属性或方法名"来调用 。此外,Vali
  3. 所属分类:Javascript

    • 发布日期:2007-08-19
    • 文件大小:121856
    • 提供者:mosup
  1. 基于VHDL的乒乓游戏机的设计

  2. 摘 要 VHDL是甚高速集成电路硬件描述语言。目前,VHDL已成为许多设计自动化工具普遍采用的标准化硬件描述语言。VHDL语言功能性强,覆盖面广,灵活性高,具有很好的实用性。本文设计一个基于VHDL的乒乓游戏机,乒乓游戏机由状态机、记分器、译码显示器与按键去抖等部分所组成。通过对各部分编写VHDL程序,然后进行编译、仿真、逻辑综合、逻辑适配,最后进行编程下载,并且通过GW48型EDA实验箱的验证,实现乒乓游戏机的基本功能。 关键词:VHDL;GW48;乒乓游戏机 Abstract VHDL i
  3. 所属分类:嵌入式

    • 发布日期:2009-10-16
    • 文件大小:526336
    • 提供者:abner86622
  1. SIMULINK s-function的设计

  2. SIMULINK s-function的设计
  3. 所属分类:其它

    • 发布日期:2010-01-28
    • 文件大小:27648
    • 提供者:yuanpeip
  1. c++源码程序之人机对战五子棋的设计可做毕业论文

  2. // 五子棋Dlg.cpp : implementation file // #include "stdafx.h" #include "五子棋.h" #include "五子棋Dlg.h" #ifdef _DEBUG #define new DEBUG_NEW #undef THIS_FILE static char THIS_FILE[] = __FILE__; #endif int board[14][14]; //棋盘数组 BOOL ptable[14][14][480]; //人的所
  3. 所属分类:C++

    • 发布日期:2010-04-11
    • 文件大小:97280
    • 提供者:pc_master
  1. 基于.NET的网络聊天软件的设计及实现

  2. 基于.NET的网络聊天系统的设计及实现摘 要本文所述的内容是“网络聊天系统”。本文从系统开发、运行环境的选择、系统可行性分析、系统功能的需求分析、功能模块的划分、数据库及数据表的设计与开发到系统功能模块的具体开发实现都进行了详细的分析和介绍。本系统采用ASP.NET 2.0作为构建平台制作各个操作模块的界面,用SQL Server 2000作为后台数据库服务器。该聊天系统包括浏览器和服务器两部分。本系统软件的主要功能是实现网上聊天,可以让多个用户同时互相通信,用户可以通过自己的浏览器进入该聊天
  3. 所属分类:C#

    • 发布日期:2008-08-04
    • 文件大小:1020928
    • 提供者:nhchenjie
  1. 简易抢答器的设计与制作

  2. 具有定时功能的八路数显抢答器的设计 摘要: 本文介绍了一种用74系列常用集成电路设计的数码显示八路抢答器的电路组成、设计思路及功能。该抢答器除具有基本的抢答功能外,还具有定时、计时和报警功能。主持人通过时间预设开关预设供抢答的时间,系统将完成自动倒计时。若在规定的时间内有人抢答,则计时将自动停止;若在规定的时间内无人抢答,则系统中的蜂鸣器将发响,提示主持人本轮抢答无效,实现报警功能。 关键词: 八路, 抢答器, 设计,定时,计时,报警 Abstract: In this paper, the
  3. 所属分类:硬件开发

    • 发布日期:2008-12-30
    • 文件大小:8192
    • 提供者:shixinran123
  1. MATLAB的相关技术、算法模型文档

  2. 含8个技术文档,有介绍MATLAB的,也有MATLAB的S-Function的文档,还有一些基于算法和模型设计的
  3. 所属分类:C

    • 发布日期:2019-08-07
    • 文件大小:228589568
    • 提供者:qq_39242042
  1. 最近做毕业设计把资料共享一下吧-基于LQR的直升机最优控制系统的设计.pdf

  2. 最近做毕业设计把资料共享一下吧-基于LQR的直升机最优控制系统的设计.pdf 如题:开始发了20多篇但不知怎么提示不成功,先发小点试一下 作为回报,希望高手们能提供一下如下系统的控制策略 Transfer function:              -3e007 s^2 7.2e012 s - 5.76e017 ----------------------------------------------------------- s^4 2.403e005 s^3 1.926e010 s^2
  3. 所属分类:其它

    • 发布日期:2019-08-12
    • 文件大小:1048576
    • 提供者:weixin_39841856
  1. 基于DSP的温度监测和显示系统的设计与实现.pdf

  2. 基于DSP的温度监测和显示系统的设计与实现pdf,基于DSP的温度监测和显示系统的设计与实现PET ROLEUM INSTRUMENTS 201008 6800 MPU DSP SED1335 65 MHZ( 18 ns). DSP RAM 120ns, TM320240EFG W DSP SED 1335 SEDI335 ELO CCRAM SEL 1 8080 ⅥPU 1SED1335 开始) 计算光标地址 DSP初始化 SYSTEM SET 4CH 选通LCD 设置光标移动方向 SLEEP
  3. 所属分类:其它

    • 发布日期:2019-10-19
    • 文件大小:405504
    • 提供者:weixin_38744153
  1. [第10讲]易控工程中的编程—用户程序(二).pdf

  2. [第10讲]易控工程中的编程—用户程序(二)pdf,[第10讲]易控工程中的编程—用户程序(二)第七章易控工程中的绽程一用户程序 易控( INSPEC)培训教程 将计算机电源关机。方法的代码可通过选中“方法内容”列中的栅格,点击栅格 中的“..”按钮,弹出“用户程序代码编辑器”,在那里察看、输入和修改自定 义方法的内容。 图7.23举例的 Shutdown方法首先弹出一个确认关机的对话框,在用户选择 Yes肯定回答后,退出工程并关闭计算机电源,否则什么也不会作。 public static vo
  3. 所属分类:其它

    • 发布日期:2019-10-11
    • 文件大小:1048576
    • 提供者:weixin_38743481
  1. 基于WinCC的信集闭语音报警系统的设计.pdf

  2. 基于WinCC的信集闭语音报警系统的设计pdf,基于WinCC的信集闭语音报警系统的设计·78· 《测控技术》2004年第23卷第2期 nclude apdef Get Project Path( spRoject Path) void CC PLay Sound( char lpszSoundFile sprintf( sz. Sound Path, %sOund %s, sdProjedPath, lpszSoundFile): bRet= PlaySound( sOund Path, NU
  3. 所属分类:其它

    • 发布日期:2019-10-09
    • 文件大小:156672
    • 提供者:weixin_38743481
  1. 基于伪并行遗传算法的煤矿温度监测系统的设计.pdf

  2. 基于伪并行遗传算法的煤矿温度监测系统的设计pdf,基于伪并行遗传算法的煤矿温度监测系统的设计103 10 PF SORE), 2021 BC 2 BC BRR SORE= Q04 0004 Q002 33能量参数 (OE) f=l/(a DSE+ a,FC+ a3SCE a4SORE-asOE+ OE=20-+2-h a CE+a,BCP) 2) CE=∑∑比l (6) Tab 1 weighting coefficien ts of PPGA fitness function 102 05×1
  3. 所属分类:其它

    • 发布日期:2019-09-14
    • 文件大小:263168
    • 提供者:weixin_38743481
  1. 输入电压可达550V的LED驱动用控制IC的设计与实现.pdf

  2. 输入电压可达550V的LED驱动用控制IC的设计与实现pdf,本文详细介绍了输入电压可达550V的LED驱动用控制IC的设计与实现。1. Specifications 1.1 Package Pinout 2 Pin Descr iption Pin# Name Descr iption 8R Input voltage LED Current Sense input. Internal current cs应2 7 LD sense threshold is set at VcS(high).
  3. 所属分类:其它

    • 发布日期:2019-09-14
    • 文件大小:560128
    • 提供者:weixin_38743481
  1. 无源软开关三电平Buck/Boost电路设计.pdf

  2. 无源软开关三电平Buck/Boost电路设计pdf,无源软开关三电平Buck/Boost电路第1期 刘扳来竽:无源软开关三电平Buck/ Boost电路 117 向输出端谐振放电,I1和V为 升到I,Dr和D关断,电流继续通过D6使C放 V6(t2) Ii(t)=sin(2(t-t2)Is (4)电,V的缓慢变化为D1的零电压开通提供了条 Ve(t)=VG(t2)cos[w2(t-t2) (5)件该阶段 V6(t)=v6(t2) Ji(t3 阶段4t<t0.5时三电平无源无损软开关电路工作
  3. 所属分类:其它

    • 发布日期:2019-09-13
    • 文件大小:267264
    • 提供者:weixin_38743602
  1. 基于直流变频技术的压缩机驱动系统的研究.pdf

  2. 基于直流变频技术的压缩机驱动系统的研究pdf,基于直流变频技术的压缩机驱动系统的研究密封技木两 www.mfw365.com 首家密封技行业门户网站 explained in the concrete Moreover, according to characteristic of the motor' s structure, the old Three-step Starting Technology" has been improved. What is more Important, a
  3. 所属分类:其它

    • 发布日期:2019-09-13
    • 文件大小:15728640
    • 提供者:weixin_38744153
  1. 单相电流源并网光伏逆变器的设计与分析.pdf

  2. 单相电流源并网光伏逆变器的设计与分析pdf,本文研究了用于光伏(PV)应用的150W单相电流源并网逆变器的性能。恒流源采用大直流环节电感实现,逆变器采用单升压开关、H桥逆变器和CL输出滤波器实现。尽管直流环节电感导致逆变器的效率低于等效电压源逆变器,但由于零电流切换和元件数量较少,因此成本较低。此外,使用升压开关可以很容易地控制输出电流,并提供简单的开环和前馈控制。本文从输出功率、总谐波畸变和功率因数等方面对模拟和测量的逆变器性能进行了比较。此外,还通过仿真研究了概念对高功率(1.2千瓦)逆变器
  3. 所属分类:其它

    • 发布日期:2019-09-13
    • 文件大小:610304
    • 提供者:weixin_38744153
  1. 无刷直流电机控制系统的设计方案

  2. 在Matlab/Simulink下,结合Simulink基础模块与S-Function,提出了无刷直流电机控制系统的设计方案。该系统采用双闭环控制:速度环采用PI控制,电流环由电流滞环比较器构成。仿真结果表明,该方案所设计的无刷直流电机控制系统具有快速、实用的优点。
  3. 所属分类:其它

    • 发布日期:2020-08-11
    • 文件大小:282624
    • 提供者:weixin_38640443
  1. 工业电子中的无刷直流电机控制系统的设计方案

  2. 摘要:在Matlab/Simulink下,结合Simulink基础模块与S-Function,提出了无刷直流电机控制系统的设计方案。该系统采用双闭环控制:速度环采用PI控制,电流环由电流滞环比较器构成。仿真结果表明,该方案所设计的无刷直流电机控制系统具有快速、实用的优点。   1.引言   无刷直流电机(Brushless DC Motor,以下简称BLDCM)是随着电力电子技术及新型永磁材料的发展而迅速成熟起来的一种新型电机。以其启动转矩大、调速性能好、效率高、过载能力强、性能稳定、控制结
  3. 所属分类:其它

    • 发布日期:2020-10-20
    • 文件大小:279552
    • 提供者:weixin_38739942
  1. MATLAB的S-Function编写指导.pdf

  2. Simulink基于模型与基于系统的设计。包括系统建模,仿真和实现。详细介绍了s-function的基础概念以及基本用方法,包括M编写s-function、C语言编写s-function等等关于s-function的详细用法和介绍以及具体实现实例
  3. 所属分类:电信

    • 发布日期:2020-10-25
    • 文件大小:2097152
    • 提供者:i_Rebel
  1. 无刷直流电机控制系统的设计方案

  2. 摘要:在Matlab/Simulink下,结合Simulink基础模块与S-Function,提出了无刷直流电机控制系统的设计方案。该系统采用双闭环控制:速度环采用PI控制,电流环由电流滞环比较器构成。仿真结果表明,该方案所设计的无刷直流电机控制系统具有快速、实用的优点。   1.引言   无刷直流电机(Brushless DC Motor,以下简称BLDCM)是随着电力电子技术及新型永磁材料的发展而迅速成熟起来的一种新型电机。以其启动转矩大、调速性能好、效率高、过载能力强、性能稳定、控制结
  3. 所属分类:其它

    • 发布日期:2021-01-20
    • 文件大小:287744
    • 提供者:weixin_38502639
« 12 3 4 5 6 7 8 9 10 »