您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 自动售货机VHDL程序与仿真

  2. 自动售货机VHDL程序及MODELSIM仿真!希望对大家的学习有帮助!
  3. 所属分类:嵌入式

    • 发布日期:2009-05-28
    • 文件大小:204800
    • 提供者:csuhuangzhixin
  1. VHDL编写的自动售货机

  2. VHDL编写的自动售货机,有退毕,找零功能
  3. 所属分类:其它

    • 发布日期:2009-08-13
    • 文件大小:4096
    • 提供者:lovefengqiang
  1. 自动售货机的设计与实现

  2. 数字逻辑课程设计 自动售货机 VHDL
  3. 所属分类:专业指导

    • 发布日期:2009-08-31
    • 文件大小:31744
    • 提供者:doc_sgl
  1. 自动售货机VHDL程序与仿真

  2. 自动售货机VHDL程序与仿真,功能包括货物信息存储,进程控制,硬币处理,余额计算,显示等功能
  3. 所属分类:嵌入式

    • 发布日期:2009-09-02
    • 文件大小:143360
    • 提供者:geqiqi1314
  1. 自动售货机VHDL程序与仿真,EDA课程设计

  2. 自动售货机VHDL程序与仿真,EDA课程设计 自动售货机VHDL程序与仿真
  3. 所属分类:嵌入式

    • 发布日期:2009-10-23
    • 文件大小:204800
    • 提供者:shaoshuai1
  1. 自动售货机VHDL程序

  2. 设计一个自动售货机控制程序,它的投币口每次可以投入1元、2元、5元,且规定投入1元或2元后不得再投入5元。当投入总值等于或超过设定值(4元),售货机就自动送出货物并找回多余的钱。 基本要求:按照上面要求,编写程序,实现售单一商品的功能。 扩展要求:在基本要求的基础上,完善电路,实现售多个商品的功能(设定值应该相应增加)
  3. 所属分类:专业指导

    • 发布日期:2009-10-24
    • 文件大小:290816
    • 提供者:aboutnow
  1. 自动售货机 VHDL

  2. 刚做完的一个实验,传上来分享一下 写的一般,请见谅 原题是麻省理工的一道EDA设计题:设计一个自动售货机系统,卖soda水的,只能投进三种硬币,要正确的找回钱 数。 (1)用到有限状态机;(2)用VHDL编程
  3. 所属分类:专业指导

    • 发布日期:2009-12-06
    • 文件大小:232448
    • 提供者:LL402
  1. 自动售货机VHDL实现

  2. 具有MAX+PLUSⅡ的仿真波形 某自动售货机中有两种饮料可以出售,售价分别为2元和3元。售货机可以识别1元,5元两种货币。如果投入金额总值等于或超过售价就可以将饮料放出,并具有相应的找零钱功能。 (1)用户可多次选择购买的饮料种类,某次饮料种类选定后等待投币。如等待时间超过10秒钟,则认为用户放弃选购,售货机自动回到等待状态,等待新的交易。 若在10秒内,再次选中饮料,则再次等待。 (2)每次交易完成,售货机自动回到等待状态,等待新的交易。 (3)用按键模拟投入1元,5元两种货币,可连续投币
  3. 所属分类:嵌入式

    • 发布日期:2010-01-15
    • 文件大小:607232
    • 提供者:iam7_30
  1. 自动售货机得VHDL程序设计

  2. 关于自动售货机控制模块的VHDL程序设计,设定多种模块,方便初学者学习
  3. 所属分类:专业指导

    • 发布日期:2010-04-23
    • 文件大小:380928
    • 提供者:yefanlei
  1. vhdl自动售货机 代码,仿真

  2. vhdl自动售货机 代码,仿真vhdl自动售货机 代码,仿真 vhdl自动售货机 代码,仿真 vhdl自动售货机 代码,仿真
  3. 所属分类:嵌入式

    • 发布日期:2010-05-02
    • 文件大小:607232
    • 提供者:fdf0347
  1. 基于VHDL的自动售货机

  2. 本程序是一个基于VHDL的自动售货机,支持5角,1元两种投币方式,商品的价格为2元。
  3. 所属分类:专业指导

    • 发布日期:2010-08-02
    • 文件大小:877568
    • 提供者:a331333835
  1. 8.21 自动售货机VHDL程序与仿真.doc

  2. 8.21 自动售货机VHDL程序与仿真.doc8.21 自动售货机VHDL程序与仿真.doc
  3. 所属分类:嵌入式

    • 发布日期:2010-08-10
    • 文件大小:204800
    • 提供者:scofieldyang
  1. VHDL编写自动售货机

  2. 用VHDL语言编写自动售货机 1.课程设计的目的 1)巩固和运用所学课程,理论联系实际,提高分析、解决数字电路系统设计的实际问题的独立工作能力。 2)进一步加深对FPGA以及数字电路应用技术方面的了解与认识。 3)进一步熟悉数字电路系统设计、制作与调试的方法和步骤。 4)深入学习EDA技术,更好地掌握本专业知识。 2.系统设计要求 某自动售货机中有两种饮料可以出售,售价分别为2元和3元。售货机可以识别1元,5元两种货币。如果投入金额总值等于或超过售价就可以将饮料放出,并具有相应的找零钱功能。
  3. 所属分类:硬件开发

    • 发布日期:2010-10-21
    • 文件大小:4096
    • 提供者:wujielianying
  1. 基于VHDL自动售货机设计

  2. 本设计实现自动售货机的功能,纤细的说明了其实现过程,并给出了实现代码和时序波形
  3. 所属分类:专业指导

    • 发布日期:2011-06-12
    • 文件大小:181248
    • 提供者:arilze
  1. 基于Vhdl的自动售货机程序与仿真

  2. 该文档描述了基于vhdl的自动售货机的源代码和仿真,有横好的利用价值
  3. 所属分类:专业指导

    • 发布日期:2011-06-22
    • 文件大小:208896
    • 提供者:aaifenfen
  1. 基于VHDL自动售货机的设计

  2. 介绍了VHDL的特点和应用; 以自动售货机的设计为例, 详细说明了其实现过程, 并给出了实现代码和时序仿真波形。
  3. 所属分类:其它

    • 发布日期:2012-10-22
    • 文件大小:134144
    • 提供者:lady_taotao
  1. 自动售货机的设计

  2. 基于VHDL自动售货机的设计:以VHDL语言和MAXPLUS11,运用GW48系列的实验箱进行仿真,实现了自动售货功能,控 制系统由投币输入信号模块和功能控制模块组成,并给出相应的设计框图和VHDL源程序,实现预定的功 能
  3. 所属分类:C/C++

    • 发布日期:2013-04-02
    • 文件大小:134144
    • 提供者:u010135425
  1. 基于VHDL的自动售货机设计

  2. 基于VHDL的自动售货机设计是本人千辛万苦找来,为了毕业论文和设计,它帮了我很多的忙,希望大家会喜欢!
  3. 所属分类:专业指导

    • 发布日期:2009-01-12
    • 文件大小:181248
    • 提供者:winnieshieh
  1. 基于Verilong_HDL自动售货机的设计与实现

  2. Verilog 硬件描述语言能够用软年语言的方式描述硬件特性,并可用仿真方式完成电路的调试,使得硬件开发周期 和成本大大降低,本文介绍了VerilogHDL 的特点和应用,并以自动售货机为例,详细说明了其实现过程,并给出了实现代码和仿真波形。
  3. 所属分类:嵌入式

    • 发布日期:2009-03-27
    • 文件大小:310272
    • 提供者:wobnu
  1. ch20.rar VHDL自动售货机程序设计

  2. 程序设计完整程序包,包括对自动售货机功能的描述,引脚定位,功能定义,程序设计,模块分析,EDA课程设计,功能模块设计,以及针对程序,仿真图,以及程序注释,帮助理解。
  3. 所属分类:其它

    • 发布日期:2020-06-08
    • 文件大小:301056
    • 提供者:XXXXX21
« 12 3 »