您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. led七段数码显示的程序

  2. 它和LED的原理是一样,在要亮的输出口供电。把输出口的位接到七段显示器的相对应接位。 但七段显示器就需要注意供电给每一个位后,可否做到你想做的字或符号。 利用七段显示器来显示计数器从00数到16
  3. 所属分类:专业指导

    • 发布日期:2009-05-31
    • 文件大小:1024
    • 提供者:kk4541437
  1. 单片机课程设计 电子课程表系统

  2. 主要功能有 1实现LED点阵屏汉字名称的滚动显示。 2实现不通课程汉字名称的自动切换。 3实现按键控制课程名称的临时更改。 4实现节日动画的显示。 5实现6位七段数码管显示时分秒。 6实现按键控制时间的调整。
  3. 所属分类:硬件开发

    • 发布日期:2009-07-04
    • 文件大小:218112
    • 提供者:hanshuiyiheng
  1. 拨动开关控制双七段数码管显示的程序vhdl源代码

  2. vhdl源代码、拨动开关控制双七段数码管显示的程序
  3. 所属分类:其它

    • 发布日期:2009-07-18
    • 文件大小:134144
    • 提供者:kisszzl
  1. FPGA 七段数码管动态显示电路设计

  2. FPGA 七段数码管动态显示电路设计,QuartusII软件运行成功。
  3. 所属分类:硬件开发

    • 发布日期:2009-11-21
    • 文件大小:263168
    • 提供者:forsiny
  1. verilog七段数码管

  2. verilog 写的七段数码管的显示 老师布置的作业,写了好几天!刚开始学verilog
  3. 所属分类:专业指导

  1. 7段数码管字型码发生器

  2. 生成七段数码管显示的字符对应的编码,可以修改每段对应的引脚,不支持批量生成。
  3. 所属分类:硬件开发

    • 发布日期:2010-12-10
    • 文件大小:124928
    • 提供者:zsdcft
  1. 7448作为译码器进行七段数码管的显示

  2. 作为译码器的7448用来进行七段数码管的显示
  3. 所属分类:专业指导

    • 发布日期:2011-12-19
    • 文件大小:118784
    • 提供者:gushaofeng
  1. vhdl七段数码管文字滚动

  2. vhdl语言编辑的七段数码管文字滚动功能。默认显示hello,左移右移功能
  3. 所属分类:电信

    • 发布日期:2012-02-27
    • 文件大小:2048
    • 提供者:jefferyhan1991
  1. 七段数码管时钟显示

  2. 七段数码管时钟显示,开发平台我NIOSII8.0,而且是生成的源文件,方便。
  3. 所属分类:嵌入式

    • 发布日期:2012-05-10
    • 文件大小:8388608
    • 提供者:aeious1
  1. mfc+vc+七段数码管+时间显示

  2. mfc vc++6.0 七段数码管 时间显示
  3. 所属分类:其它

    • 发布日期:2012-12-22
    • 文件大小:22528
    • 提供者:w1181298277
  1. VHDL七段译码器

  2. VHDL七段译码器,输入二进制代码,通过译码器,能够直接在数码管上显示
  3. 所属分类:硬件开发

    • 发布日期:2013-12-11
    • 文件大小:726
    • 提供者:u013115986
  1. 七段数码管

  2. 七段LED数码管译码显示设计,采用动态扫描控制LED灯的COM端来选择数码管的显示
  3. 所属分类:其它

    • 发布日期:2014-11-14
    • 文件大小:831
    • 提供者:u014165880
  1. VC实现数码管七段显示

  2. 在MFC实现有静态文本实现数码管的七段显示,可以大大提高工控开发界面的美观很方便实用
  3. 所属分类:C++

    • 发布日期:2015-02-05
    • 文件大小:380928
    • 提供者:andnew
  1. 四位七段数码管引脚图

  2. 数码管在现在的自动控制中的显示应用极为广泛,由于使用时间的问题会导致缺画的现象发生,为了便于大家更好找到合适的数码管进行更换,特给大家详细介绍
  3. 所属分类:硬件开发

    • 发布日期:2015-11-21
    • 文件大小:729088
    • 提供者:waitstory12
  1. VC++6.0 八段数码管的类

  2. 用来增强显示效果的,八(七)段数码管,带小数点的!当然还可以加一些其它的字符显示
  3. 所属分类:C++

    • 发布日期:2008-11-23
    • 文件大小:443392
    • 提供者:hordebew
  1. MFC七段数码管显示

  2. MFC七段式数码管显示
  3. 所属分类:C++

    • 发布日期:2017-06-27
    • 文件大小:33554432
    • 提供者:hellomiss52066
  1. 篮球三十秒计时器的研究

  2. 本课程设计是脉冲数字电路的简单应用,设计了篮球竞赛30秒计时器。此计时器功能齐全,可以直接清零、启动、暂停和连续以及具有光电报警功能,同时应用了七段数码管来显示时间。此计时器有了启动、暂停和连续功能,可以方便地实现断点计时功能,当计时器递减到零时,会发出光电报警信号。
  3. 所属分类:专业指导

    • 发布日期:2008-12-28
    • 文件大小:754688
    • 提供者:ganleis
  1. proteus中实现ARM处理器仿真-七段数码管和LCD1602显示

  2. 利用ARM处理器(NXPLPC21XX系列)在proteus中搭建电路仿真,实现七段数码管或者LCD1602显示,有完整的东西:proteus工程。keil源代码。电路截图。简要说明。
  3. 所属分类:嵌入式

    • 发布日期:2018-05-12
    • 文件大小:333824
    • 提供者:qq_38008379
  1. VHDL 实验四 八位七段数码管动态显示电路的设计

  2. 当设计文件加载到目标器件后,将数字信号源模块的 时钟选择为 1KHZ,拨动四位拨动开关,使其为一个数值,则八个数码管均显示拨动 开关所表示的十六进制的值。
  3. 所属分类:其它

    • 发布日期:2020-12-24
    • 文件大小:417792
    • 提供者:weixin_43469207
  1. 51单片机七段数码管显示时钟加按键控制——74HC595版的所有文件

  2. 1.使用七段数码管显示一个时钟 2.编写程序让接在P0口的数码管显示时分秒,秒数每秒加一 3.要求1秒时间间隔使用定时器中断实现 4.七段数码管的位选和段选通过[74HC595]
  3. 所属分类:硬件开发

    • 发布日期:2020-12-22
    • 文件大小:72704
    • 提供者:weixin_43689161
« 1 2 3 45 6 7 8 9 10 ... 24 »