您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. VC与Labview、Matlab编程论文资料[4].rar

  2. 这是VC与Labview、Matlab编程论文资料,全部为pdf格式,讲述有VC编程技巧、也有VC与Labview混合编程, VC与matlab混合编程,有365个,约500M,分成4个【独立】压缩包,如果想要全部的论文则需要下载全部压 缩包,否则你下载的可能只是里面的一部分论文。 CAD与VC数据接口平台的研究与应用.pdf Java可视化集成开发环境的研究与实现.pdf Java调用VC_DLL实现串口GPS的访问.pdf LabVIEW_快速构建步进电机控制系统的利器.pdf LabVI
  3. 所属分类:其它

    • 发布日期:2014-05-05
    • 文件大小:84934656
    • 提供者:zhuce0001
  1. KesionCMS 万能建站系统 建站源码

  2. KesionCMS是漳州科兴信息技术有限公司开发的一套万能建站产品,是CMS行业最流行的网站建设解决方案之一。最新版X1.0把主系统及一些辅助系统模块化开发,前后台UI采用HTML5全新架构,方便随时删除(隐藏)安装所需要的模块。应广大用户群体建议,此次版本改动所有涉及编辑器和视频播放的模块,全部采用百度编辑器(ueditor)及ckplayer视频播放器,改进后的视频(flv,mp4等格式视频)支持在手机上播放。且新版本X1.0增加了手机短信接口,可以启用注册会员短信验证注册,手机取回密码,
  3. 所属分类:网页制作

    • 发布日期:2014-09-07
    • 文件大小:16777216
    • 提供者:w176363189
  1. 基于OpenCV的手持式和面板式数字仪表自动采集系统

  2. 利用OpenCV 计算机视觉库,通过图像/ 视频感知设备对手持式和面板式数字仪表的显示区域进行图像采集,在对图像几何校正、自适应阈值二值化等预处理后,使用霍夫变换校正倾斜字体,随后对图像先垂直再水平分割,进行图像归一化处理,利用七段码的12 个特征值经过训练构成神经网络,最终完成对字符的识别。
  3. 所属分类:Android

    • 发布日期:2015-01-08
    • 文件大小:1048576
    • 提供者:xianjianke20000
  1. 北京工业大学 微机原理与接口技术 实验九 数码转换 习题

  2. 《80×86/Pentium微机原理及接口技术》(余春暄),北京工业大学电控学院大二下学期的微机原理与接口技术课程的实验部分,80x86汇编语言的实践要做的是实验二和实验九。实验九的内容是用x86汇编语言实现数码转换。 本资源包含该实验习题中的两个程序代码。分别是: 习题1.十六进制数转换为十进制数 习题2.十进制数转换为七段码 本资源只含代码,不含实验报告,如果你需要的是实验报告就不要点开了以免浪费你宝贵的分数。本代码的可靠性极高,与网络上其他来源的实验报告中的代码不可同日而语。希望下载并采
  3. 所属分类:专业指导

    • 发布日期:2015-02-24
    • 文件大小:2048
    • 提供者:u013570739
  1. verilog------七段码的显示

  2. FPGA入门 assign x='h13426034; assign an=~btn; assign s[2]=btn[4]|btn[5]|btn[6]|btn[7]; assign s[1]=btn[2]|btn[3]|btn[6]|btn[7]; assign s[0]=btn[1]|btn[3]|btn[5]|btn[7]; assign dp=1;
  3. 所属分类:专业指导

    • 发布日期:2015-08-08
    • 文件大小:513
    • 提供者:qq_30446007
  1. Weback微信互动系统源码20131104

  2. Weback微信互动系统源码 源码描述: 特别声明:之前有同学说我们的代码很多东西都封装了,其实XCore只是一个底层框架,微信互动平台的全部核心代码都是在Weback中的,我们没有进行过任何封装,XCore提供的只是一些常用类库而已,不过我们考虑到XCore里面的东西太多,放进来既让大家看着头疼又会降低编译速度,所以我们在Weback中就只发布了编译版,但是大家可以到https://github.com/wlniao/xcore获取XCore的源码。 Weback是开源的,XCore也是开源
  3. 所属分类:Web开发

    • 发布日期:2016-05-07
    • 文件大小:5242880
    • 提供者:ss_geng
  1. OA系统+手机版源码t源代码

  2. 本程序结合了OA办公系统+HR人力资源管理系统+CRM客户关系管理系统集合而成。我们把程序设计信息化应用分为三个方面的内容:通用办公应用、业务管理和决策支持。采用现代最新技术,建立一种新概念的、开放的现代管理和办公环境,它以TCP/IP、广域网互连、路由、防火墙和网络管理技术为核心,建立一个安全可靠的网络应用平台。利用最新的ASP.NET平台、javascr ipt和AJAX、SQLSERVER关系型数据库等技术,结合OA思想的独特设计,建立一个开放的信息资源管理平台。 利用数据库及分布式处理
  3. 所属分类:PHP

    • 发布日期:2016-12-06
    • 文件大小:44040192
    • 提供者:a3d88
  1. vhdl实现交通灯

  2. 南北方向和东西方向的共六个颜色灯(红、黄、绿)按给定延迟时间循环点亮。东西方向通行30秒,南北方向通行30秒。选用2个七段码显示时间,进行倒计时。当时间到后,进行红黄绿灯显示切换。
  3. 所属分类:硬件开发

    • 发布日期:2016-12-11
    • 文件大小:458752
    • 提供者:zl1107604962
  1. EDA设计--微波炉定时控制器

  2. 要求:1、复位开关: 2、 启动开关: 3、 烹调时间设置: 4、 烹调时间显示: 5、 七段码测试: 6、 启动输出: 7、 按TEST键可以测试七段码管,显示为“8888”; 8、 设定时间后,按启动键开始烹调,同时七段码显示剩余时间,时间为0时,显示烹调完成信息“CDEF”
  3. 所属分类:其它

    • 发布日期:2008-12-02
    • 文件大小:201728
    • 提供者:happyangellsj
  1. 简易数字温度计的设计与制作

  2. 简易数字温度计的设计与制作 简易数字温度计的设计与制作 摘 要:单片机在日用电子产品中的应用越来越广泛,温度则是人们日常生活中常常需要测量和控制的一个量。本文作者采用AT89C51单片机和温度传感器AD590从硬件和软件两方面介绍了一款简易数字温度计的设计过程, 并对硬件原理图和程序流程图作了简洁的描述。 关键词:单片机AT89C51;温度传感器AD590;数字温度计;模数转换;数码显示 1.前言 随着单片机技术的不断发展,单片机在日用电子产品中的应用越来越广泛,温度传感器AD590具有线性优
  3. 所属分类:硬件开发

    • 发布日期:2008-12-23
    • 文件大小:190464
    • 提供者:womendouyiyang_
  1. Asp.net物资流通管理系统源码.rar(51aspx)

  2. Asp.net物资流通管理系统源码 本系统主要针对物流供应链中的库存管理环节,实现了: 1) 货物的入库、出库、调库、借出、借入、调拨、报损、盘点等多种操作流程。 2) 写入各种出入库凭证及凭证查询。 3) 统计仓库账目,以无纸化作业全面代替手工台帐。 4) 准确控制存储物料的数量,以保证稳定的物流,支持正常的生产,支持多仓库操作,货物的批量出入库、调库、盘点、货位管理等。 5) 有效地解决货物的积压、超储、过期、短缺、账目混乱等常见的弊病。 6) 实时的库存信息控制,保证了准时交付。 以下是
  3. 所属分类:C#

    • 发布日期:2009-01-21
    • 文件大小:598016
    • 提供者:bailingniao2013
  1. VHDL小程序源代码

  2. VHDL 小程序源代码: led七段译码 简单调用 秒表 元件例化 (网络收集)
  3. 所属分类:网络基础

    • 发布日期:2009-02-22
    • 文件大小:3072
    • 提供者:robertwzj
  1. 周立功逻辑分析仪

  2. 周立功LA1016分析仪资料,用于检测UART,485,SPI,七段码等通讯协议的解读
  3. 所属分类:硬件开发

    • 发布日期:2018-06-01
    • 文件大小:62914560
    • 提供者:long814913
  1. 汇编语言代码转换

  2. 代码转换是计算机和 I/O 设备进行信息交换的重要技术之一。各种 I/O 设备 所提供和接收的数据编码不尽相同。为了处理这些信息,计算机必须将各种不同 编码形式的信息转换成别种适当的数据形式。例如,键盘输入的数据均为 ASC Ⅱ码形式存储在内存中,通常要求将其转换成相应的数据形式。一般实现代码转 换有两种方法: 1.软件法 利用 CPU 的算术逻辑运算功能,通过运算或查表实现各种数 据代码间的转换。该方法经济但速度慢。 2.硬件法 利用专用代码转换集成电路进行转换。如 74LS74 可把 BC
  3. 所属分类:嵌入式

    • 发布日期:2018-07-11
    • 文件大小:1024
    • 提供者:qq_18246731
  1. 基于51单片机控制的篮球比赛计时、计分系统设计与仿真(含设计图和C语言程序源码)

  2. 本次设计用由AT89C51编程控制LED七段数码管作显示的球赛计时计分系统。系统应具有赛程定时设置,赛程时间暂停,及时刷新甲、乙双方的成绩以及赛后成绩暂存等功能。 内容包括:显示、键盘、时钟等内容
  3. 所属分类:硬件开发

    • 发布日期:2018-08-10
    • 文件大小:78848
    • 提供者:m0_37888031
  1. 数字电压表

  2. 单片机的数字电压表 2017年11月23日 - CD4511是一片CMOSBCD锁存/7段译码/驱动器,用于驱动共阴极LED(数码管)显示器的BCD码-七段码译码器。具有...很好!很详细,就照着这个做了如果他为人体
  3. 所属分类:Windows Server

    • 发布日期:2019-01-02
    • 文件大小:122880
    • 提供者:weixin_44363452
  1. 微机课程设计-抢答计时器

  2. 微型计算机技术的课程设计,抢答计时器,设计一个用于智力竞赛的抢答计时器。 按下启动钮,2个七段码倒计时开始,同时开始抢答 参赛双方分别按不同的抢答按钮参与抢答。一旦其中一位按下按钮,相应的显示灯亮,并记录抢答时间。此时若其它按钮按下均无效。 若一直到计数到零,无一人按下按钮,相应LED灯亮,抢答结束。
  3. 所属分类:嵌入式

    • 发布日期:2019-02-15
    • 文件大小:4096
    • 提供者:easadon
  1. 使用CD4511设计带锁存、显示功能的八路抢答器电路.doc

  2. CD4511是一片CMOSBCD—锁存/7段译码/驱动器,用于驱动共阴极LED(数码管)显示器的BCD码-七段码译码器。具有BCD转换、消隐和锁存控制、七段译码及驱动功能的CMOS电路能提供较大的拉电流。可直接驱动共阴LED数码管。下面将介绍基于CD4511的八路抢答器电路的设计。   1、抢答器同时供8名选手或8个代表队比赛,分别用8个按钮S1~S8表示。   2、设置一个系统清除和抢答控制开关S,该开关由主持人控制。   3、抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在L
  3. 所属分类:其它

    • 发布日期:2019-07-23
    • 文件大小:393216
    • 提供者:weixin_39841856
  1. JavaWeb网上购物系统2020时尚版(毕业设计源码).zip

  2. 一、支持按订单号码查询和按下单用户以及日期查询订单,支持模糊查询功能。 二、支持按点选查看订单6种状态下的所有订单信息 三、支持订单的快递单打印功能 四、支持物流单号、发货日期等填写设置功能。 五、支持订单文本打印!本功能以纯文本加普通单线黑表格方式进行打印订单,方便归纳与统计!  六、前台导航条支持订单搜索,方便及时查看订单的实时状况 七、支持发货管理,填写物流公司及单号后发货,系统自动进入发货状态。 八、商品销售报表统计!
  3. 所属分类:Web开发

    • 发布日期:2020-02-27
    • 文件大小:5242880
    • 提供者:qq_41445580
  1. 交通灯实验.zip

  2. 简介本实验要完成任务就是设计一个简单的交通灯控制器,交通灯显示用实验箱 的交通灯模块和七段码管中的任意两个来显示。系统时钟选择时钟模块的 1KHz 时钟,黄灯闪烁时钟要求为 2Hz,七段码管的时间显示为 1Hz 脉冲,即每 1s...
  3. 所属分类:其它

    • 发布日期:2019-10-31
    • 文件大小:2097152
    • 提供者:qq_38963095
« 1 2 3 4 5 67 8 9 10 11 ... 24 »