您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. verilog pdf

  2. 目 录 译者序 前言 第1章 简介 1 1.1 什么是Verilog HDL? 1 1.2 历史 1 1.3 主要能力 1 第2章 HDL指南 4 2.1 模块 4 2.2 时延 5 2.3 数据流描述方式 5 2.4 行为描述方式 6 2.5 结构化描述形式 8 2.6 混合设计描述方式 9 2.7 设计模拟 10 第3章 Verilog语言要素 14 3.1 标识符 14 3.2 注释 14 3.3 格式 14 3.4 系统任务和函数 1 5 3.5 编译指令 15 3.5.1 `defi
  3. 所属分类:C++

    • 发布日期:2009-08-20
    • 文件大小:4194304
    • 提供者:renesas2
  1. VerilogHDL教程

  2. 目 录 译者序 前言 第1章 简介 1 1.1 什么是Verilog HDL? 1 1.2 历史 1 1.3 主要能力 1 第2章 HDL指南 4 2.1 模块 4 2.2 时延 5 2.3 数据流描述方式 5 2.4 行为描述方式 6 2.5 结构化描述形式 8 2.6 混合设计描述方式 9 2.7 设计模拟 10 第3章 Verilog语言要素 14 3.1 标识符 14 3.2 注释 14 3.3 格式 14 3.4 系统任务和函数 1 5 3.5 编译指令 15 3.5.1 `defi
  3. 所属分类:C++

    • 发布日期:2009-08-30
    • 文件大小:3145728
    • 提供者:icomechang
  1. Verilog HDL硬件描述语言.rar

  2. 目 录 译者序 前言 第1章 简介 1 1.1 什么是Verilog HDL? 1 1.2 历史 1 1.3 主要能力 1 第2章 HDL指南 4 2.1 模块 4 2.2 时延 5 2.3 数据流描述方式 5 2.4 行为描述方式 6 2.5 结构化描述形式 8 2.6 混合设计描述方式 9 2.7 设计模拟 10 第3章 Verilog语言要素 14 3.1 标识符 14 3.2 注释 14 3.3 格式 14 3.4 系统任务和函数 1 5 3.5 编译指令 15 3.5.1 `defi
  3. 所属分类:C++

    • 发布日期:2009-09-15
    • 文件大小:3145728
    • 提供者:wsedwsed
  1. 牛顿-拉夫逊法潮流计算的Matlab实现

  2. 一、此程序只适用于求解节点电压以极坐标形式表示的潮流方程,没有考虑节点优化编号 二、程序在Matlab 6.5上测试通过,应该适用于目前其所有后续版本 三、程序主要通过文件方式输入输出(同时程序也返回结果向量),对输入文件格式有严格要求,具体如下: 1.输入文件可以直接在Matlab中新建m文件编写,也内容可以以文本方式编写,但最后必须改后缀名成为“.m”文件。文件名第一个字符必须是字母,后面可以跟字母、数字和下划线的任何组合,但不能和已有文件和函数冲突,不能含中文。 2.输入文件内容格式:
  3. 所属分类:其它

    • 发布日期:2009-10-11
    • 文件大小:6144
    • 提供者:longdinhohe
  1. 步进电机驱动芯片THB7128资料大全

  2. THB7128 高细分、大功率 两相混合式步进电机驱动芯片 特性: ● 双全桥MOSFET驱动,低导通电阻Ron=0.53Ω ● 最高耐压40VDC,大电流3.3 A(峰值) ● 多种细分可选(1、1/2、1/4、1/8、1/16、1/32、1/64、1/128) ● 自动半流锁定功能 ● 内置混合式衰减模式 ● 内置输入下拉电阻 ● 内置温度保护及过流保护
  3. 所属分类:嵌入式

    • 发布日期:2010-03-29
    • 文件大小:678912
    • 提供者:jek0027
  1. verilog hdl FPGA硬件描述语言

  2. 目 录 译者序 前言 第1章 简介 1 1.1 什么是Verilog HDL? 1 1.2 历史 1 1.3 主要能力 1 第2章 HDL指南 4 2.1 模块 4 2.2 时延 5 2.3 数据流描述方式 5 2.4 行为描述方式 6 2.5 结构化描述形式 8 2.6 混合设计描述方式 9 2.7 设计模拟 10 第3章 Verilog语言要素 14 3.1 标识符 14 3.2 注释 14 3.3 格式 14 3.4 系统任务和函数 15 3.5 编译指令 15 3.5.1 `defin
  3. 所属分类:C++

    • 发布日期:2010-04-14
    • 文件大小:88064
    • 提供者:yangxujunboy
  1. VerilogHDL硬件描述语言

  2. 目 录 译者序 前言 第1章 简介 1 1.1 什么是Verilog HDL? 1 1.2 历史 1 1.3 主要能力 1 第2章 HDL指南 4 2.1 模块 4 2.2 时延 5 2.3 数据流描述方式 5 2.4 行为描述方式 6 2.5 结构化描述形式 8 2.6 混合设计描述方式 9 2.7 设计模拟 10 第3章 Verilog语言要素 14 3.1 标识符 14 3.2 注释 14 3.3 格式 14 3.4 系统任务和函数 15 3.5 编译指令 15 3.5.1 `defin
  3. 所属分类:C++

    • 发布日期:2010-04-14
    • 文件大小:4194304
    • 提供者:yangxujunboy
  1. Verilog HDL硬件描述语言教程

  2. 第1章 简介 1 1.1 什么是Verilog HDL? 1 1.2 历史 1 1.3 主要能力 1 第2章 HDL指南 4 2.1 模块 4 2.2 时延 5 2.3 数据流描述方式 5 2.4 行为描述方式 6 2.5 结构化描述形式 8 2.6 混合设计描述方式 9 2.7 设计模拟 10 第3章 Verilog语言要素 14 3.1 标识符 14 3.2 注释 14 3.3 格式 14 3.4 系统任务和函数 15 3.5 编译指令 15 3.5.1 `define和`undef 15
  3. 所属分类:C++

    • 发布日期:2010-05-08
    • 文件大小:3145728
    • 提供者:youyouyike
  1. 电路常识性概念(基本电路知识)

  2. 1、输入、输出电阻 2、电容 3、TTL与CMOS集成电路 4、TTL与CMOS电平/OC门 5、上拉电阻、下拉电阻/拉电流、灌电流/扇出系数 6、VCC、VDD和VSS三种标号的区别 7、三态门与高阻态 8、奇偶校验
  3. 所属分类:C++

    • 发布日期:2010-05-10
    • 文件大小:491520
    • 提供者:icomechang
  1. 非常全面的Verilog教程

  2. 目 录 译者序 前言 第1章 简介 1 1.1 什么是Verilog HDL? 1 1.2 历史 1 1.3 主要能力 1 第2章 HDL指南 4 2.1 模块 4 2.2 时延 5 2.3 数据流描述方式 5 2.4 行为描述方式 6 2.5 结构化描述形式 8 2.6 混合设计描述方式 9 2.7 设计模拟 10 第3章 Verilog语言要素 14 3.1 标识符 14 3.2 注释 14 3.3 格式 14 3.4 系统任务和函数 15 3.5 编译指令 15 3.5.1 `defin
  3. 所属分类:C++

    • 发布日期:2010-05-16
    • 文件大小:4194304
    • 提供者:flyawayboy
  1. verilog语言PDF教程

  2. 目 录 译者序 前言 第1章 简介 1 1.1 什么是Verilog HDL? 1 1.2 历史 1 1.3 主要能力 1 第2章 HDL指南 4 2.1 模块 4 2.2 时延 5 2.3 数据流描述方式 5 2.4 行为描述方式 6 2.5 结构化描述形式 8 2.6 混合设计描述方式 9 2.7 设计模拟 10 第3章 Verilog语言要素 14 3.1 标识符 14 3.2 注释 14 3.3 格式 14 3.4 系统任务和函数 15 3.5 编译指令 15 3.5.1 `defin
  3. 所属分类:C++

    • 发布日期:2010-05-28
    • 文件大小:4194304
    • 提供者:xsz88
  1. 单片机期末考试真题 大家快来转载

  2. 单片机真题,大家快来啊 单片机期末考试试题 01、单片机是将微处理器、一定容量的 RAM 和ROM以及 I/O 口、定时器等电路集成在一块芯片上而构成的微型计算机。 2、单片机89C51片内集成了 4 KB的FLASH ROM,共有 5 个中断源。 3、两位十六进制数最多可以表示 256 个存储单元。 4、89C51是以下哪个公司的产品?( C ) A、INTEL B、AMD C、ATMEL D、PHILIPS 5、在89C51中,只有当EA引脚接 高 电平时,CPU才访问片内的Flash R
  3. 所属分类:C

    • 发布日期:2010-06-13
    • 文件大小:64512
    • 提供者:muzili08
  1. Verilog HDL入门(第3版)

  2. 第1章 简介 1 1.1 什么是Verilog HDL? 1 1.2 历史 1 1.3 主要能力 1 第2章 HDL指南 4 2.1 模块 4 2.2 时延 5 2.3 数据流描述方式 5 2.4 行为描述方式 6 2.5 结构化描述形式 8 2.6 混合设计描述方式 9 2.7 设计模拟 10 第3章 Verilog语言要素 14 3.1 标识符 14 3.2 注释 14 3.3 格式 14 3.4 系统任务和函数 15 3.5 编译指令 15 3.5.1 `define和`undef 15
  3. 所属分类:C++

    • 发布日期:2010-06-26
    • 文件大小:10485760
    • 提供者:chen202052428
  1. Verilog-HDL入门

  2. 目 录 译者序 前言 第1章 简介 1 1.1 什么是Verilog HDL? 1 1.2 历史 1 1.3 主要能力 1 第2章 HDL指南 4 2.1 模块 4 2.2 时延 5 2.3 数据流描述方式 5 2.4 行为描述方式 6 2.5 结构化描述形式 8 2.6 混合设计描述方式 9 2.7 设计模拟 10 第3章 Verilog语言要素 14 3.1 标识符 14 3.2 注释 14 3.3 格式 14 3.4 系统任务和函数 15 3.5 编译指令 15 3.5.1 `defin
  3. 所属分类:C++

    • 发布日期:2010-07-15
    • 文件大小:3145728
    • 提供者:lilongxing
  1. THB7128芯片细分驱动

  2. ● 双全桥MOSFET驱动,低导通电阻Ron=0.53Ω ● 最高耐压40VDC,大电流3.3 A(峰值) ● 多种细分可选(1、1/2、1/4、1/8、1/16、1/32、1/64、1/128) ● 自动半流锁定功能 ● 内置混合式衰减模式 ● 内置输入下拉电阻 ● 内置温度保护及过流保护
  3. 所属分类:嵌入式

    • 发布日期:2010-09-01
    • 文件大小:352256
    • 提供者:xw13668
  1. 详细介绍Verlog语言要素

  2. 目 录 译者序 前言 第1章 简介 1 1.1 什么是Verilog HDL? 1 1.2 历史 1 1.3 主要能力 1 第2章 HDL指南 4 2.1 模块 4 2.2 时延 5 2.3 数据流描述方式 5 2.4 行为描述方式 6 2.5 结构化描述形式 8 2.6 混合设计描述方式 9 2.7 设计模拟 10 第3章 Verilog语言要素 14 3.1 标识符 14 3.2 注释 14 3.3 格式 14 3.4 系统任务和函数 15 3.5 编译指令 15 3.5.1 `defin
  3. 所属分类:C++

    • 发布日期:2010-09-06
    • 文件大小:4194304
    • 提供者:ydbycxyf
  1. Verilog HDL硬件描述语言入门到精通加实例

  2. 目 录 译者序 前言 第1章 简介 1 1.1 什么是Verilog HDL? 1 1.2 历史 1 1.3 主要能力 1 第2章 HDL指南 4 2.1 模块 4 2.2 时延 5 2.3 数据流描述方式 5 2.4 行为描述方式 6 2.5 结构化描述形式 8 2.6 混合设计描述方式 9 2.7 设计模拟 10 第3章 Verilog语言要素 14 3.1 标识符 14 3.2 注释 14 3.3 格式 14 3.4 系统任务和函数 15 3.5 编译指令 15 3.5.1 `defin
  3. 所属分类:C++

    • 发布日期:2010-10-04
    • 文件大小:4194304
    • 提供者:kim_hjf
  1. 基于ARM的USB2_0OTG技术研究与设计

  2. 针对目前 USB2.0 的广泛发展和应用,以及 OTG(On-The-Go)技术的兴起,文章提出一种 USB2.0 OTG 的软硬件设计方案。该方案采用事件检测和消息触发的形式来实现 OTG 设备的各个状态,并通过 OTG 状态寄存(OTG Monitor)信息来改变上拉或下拉电阻开关的开启和关闭,通过对 Vbus 的唤醒、挂起、数据线上下拉电阻的控制来实现OTG 的会话请求协议(SRP)和主机协商协(HNP)
  3. 所属分类:硬件开发

    • 发布日期:2010-10-06
    • 文件大小:238592
    • 提供者:hb81894225
  1. NH567设计的门铃案子

  2. NH567040门铃方案的具体功能: 1. 重播键: 不可重触发(上升沿,正触发) 2. 下选曲键: 可重触发,每按一次播放下一首;(上升沿,正触发) 3. 音量键: 分四级音量,可重触发(正触发,上电音量次序是75%、50%、25%、100%); 4. 信号控制脚:以信号控制该音乐片按键所有功能,并能通过信号任意指定哪首歌曲播放和音量. 5. 下选曲键和音量键 同时触发为 上选曲键:可重触发,每按一次播放上一首(上升沿,正触发). 6. 重播键和音量键 同时触发为 停止键:触发一下,停止正在
  3. 所属分类:电子政务

    • 发布日期:2011-03-16
    • 文件大小:1048576
    • 提供者:lyt339723181
  1. STF16360中文资料

  2. STF16360EN是一种静态VFD 驱动电路 带有36 位高压驱动端口 可直接驱动静态VFD 该电路由 一个36 位串入并出/ 串入串出移位寄存器 一个36 位数据控制驱动器两部分组成 带有级联端口 使 用时很灵活 根据用户的实际需要 CPU 编程控制非常方便 是CPU 与静态VFD 之间的非常理想的 元件 移位寄存器接收串行输入数据 输出串行数据 并提供送往控制驱动模块的并行数据 移位寄存 器有独立的时钟输入端 可通过复位信号对移位寄存器进行复位 功能特点 z 电路采用三电源供电 +5V
  3. 所属分类:电子政务

    • 发布日期:2011-04-01
    • 文件大小:227328
    • 提供者:nyqiao
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 27 »