您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 汇编语言设计的交通灯 接口课程设计

  2. 8255A 汇编语言实现交通灯 课程设计,能直接运行的程序
  3. 所属分类:交通

  1. vhdl 交通灯资料打包

  2. 这是我们系组织学习vhdl完成后的作品,一个有复位控制、东西特殊状态、南北特殊状态的交通灯,程序采用多进程控制,易于新手学习。大家下载后,如果有什么不懂,请和楼主发消息。 胖蛇集团 “西安文理学院机电系”一个逐渐强大的团队
  3. 所属分类:交通

    • 发布日期:2009-12-22
    • 文件大小:165888
    • 提供者:pangshe
  1. 基于51单片机的交通灯设计(全面资料)

  2. 基于51单片机的交通灯设计(全面资料) 单片机 设计 交通灯 原理图 程序
  3. 所属分类:硬件开发

    • 发布日期:2009-12-22
    • 文件大小:49152
    • 提供者:feng872601
  1. 单片机最小系统+交通灯+A\D转换

  2. 有仿真原理图,hex程序.能实现按键控制数码管显示,十字路口交通灯,A\D转换功能
  3. 所属分类:交通

    • 发布日期:2009-12-22
    • 文件大小:26624
    • 提供者:jiangyongsd
  1. 基于单片机的交通灯控制系统设计

  2. 目  录 摘  要 1 引  言 2 1 概述 4 1.1 设计目的 4 1.2 设计要求 4 2 设计方案比较与选择 5 2.1 方案说明 5 2.1.1 方案一 5 2.1.2 方案二 6 2.1.3 方案比较及选择 6 2.1.4 总体功能说明 6 3 交通灯控制系统设计 7 3.1 单片机控制的交通灯的总体设计 7 3.1.1 交通信号灯系统组成框图 7 4总体设计核心器件简介 8 4.1 主控器部分 8 4.2 数码显示部分 10 4.3 交通灯显示部分 16 4.4 数码显示时间手
  3. 所属分类:交通

    • 发布日期:2009-12-23
    • 文件大小:1048576
    • 提供者:m52ni1314w
  1. 单片机最小系统交通灯键盘显示AD转换

  2. 键盘显示,交通灯AD转换的图 很好的哦 真的 我们做课程设计的时候我就用的
  3. 所属分类:交通

    • 发布日期:2009-12-23
    • 文件大小:161792
    • 提供者:zhuyanyang666
  1. 宏汇编 交通灯 源代码

  2. 如名称:宏汇编 交通灯 源代码 运用的是8253芯片 如名称:宏汇编 交通灯 源代码 运用的是8253芯片
  3. 所属分类:交通

    • 发布日期:2009-12-23
    • 文件大小:2048
    • 提供者:luantu
  1. 基于单片机控制交通灯的设计

  2. 本系统采用MSC-51系列单片机ATSC51和可编程并行I/O接口芯片8255A为中心器件来设计交通灯控制器,实现了能根据实际车流量通过8051芯片的P1口设置红、绿灯燃亮时间的功能;红绿灯循环点亮,倒计时剩5秒时黄灯闪烁警示(交通灯信号通过PA口输出,显示时间直接通过8255的PC口输出至双位数码管);车辆闯红灯报警;绿灯时间可检测车流量并可通过双位数码管显示。本系统实用性强、操作简单、扩展功能强。
  3. 所属分类:交通

    • 发布日期:2009-12-23
    • 文件大小:131072
    • 提供者:tangchaomj
  1. 交通灯定时控制系统实验报告 含Multisim仿真图 含555定时器引脚图

  2. 交通灯定时控制系统的设计、制作》 课程设计报告
  3. 所属分类:交通

    • 发布日期:2009-12-24
    • 文件大小:605184
    • 提供者:chenfenggang
  1. 数电课程设计交通灯控制电路

  2. 数电课程设计交通灯控制电路,主车道通行45秒支路通行25秒,绿灯转换红灯中间黄灯闪5秒。
  3. 所属分类:交通

    • 发布日期:2009-12-24
    • 文件大小:56320
    • 提供者:hepan1128
  1. 用VHDL设计交通灯实验报告

  2. 完整的VHDL设计交通灯的实验报告供参考
  3. 所属分类:交通

    • 发布日期:2009-12-26
    • 文件大小:13631488
    • 提供者:xsssssc
  1. 微机原理与接口技术 交通灯

  2. 本次的交通灯控制系统主要由8255A并行口、8253定时/计算器、8259单极中断控制器以及74LS139译码器实验等芯片组成。整个课程设计主要使用8255A的A口和B口模拟十字路口交通灯的闪烁情况。
  3. 所属分类:交通

    • 发布日期:2009-12-26
    • 文件大小:1048576
    • 提供者:stko1007
  1. 基于单片机控制的交通灯毕业设计

  2. 十字路口车辆穿梭,行人熙攘,车行车道,人行人道,有条不紊。那么靠什么来实现这井然秩序呢?靠的是交通信号灯的自动指挥系统。交通信号灯控制方式很多。本设计主要分为五大模块输入控制电路、时钟控制电路、片内外程序切换控制、显示电路。以MSC-51系列单片机IntelAT89C51为中心器件来设计交通灯控制器,实现了AT89C51芯片的P0口设置红、绿灯、黄灯燃亮时间的功能;为了系统稳定可靠采用了74LS14施密特触发器芯片的消抖电路,避免了系统因输入信号抖动产生误操作;显示时间直接通过AT89C51的
  3. 所属分类:交通

    • 发布日期:2009-12-27
    • 文件大小:1048576
    • 提供者:myongle
  1. EDA交通灯实验报告

  2. EDA交通灯实验报告,一个简单的程序,改变了程序,实现了其他功能
  3. 所属分类:交通

    • 发布日期:2009-12-27
    • 文件大小:50176
    • 提供者:wshwgz07
  1. 89S52单片机交通灯程序

  2. 基于AT89S52 用的单片机交通灯程序,有些学校做课程设计可直接使用
  3. 所属分类:硬件开发

    • 发布日期:2009-12-27
    • 文件大小:1024
    • 提供者:luoluo5i0
  1. 交通灯电路设计全过程报告

  2. .设计一个十字路口的交通灯控制电路,要求甲车道和乙车道两条交叉道路上的车辆交替运行,每次通行时间都设为25秒;
  3. 所属分类:交通

    • 发布日期:2009-12-27
    • 文件大小:193536
    • 提供者:aa20lin
  1. 微型计算机原理及应用 有急救车的交通灯实验设计

  2. 微型计算机原理及应用 有急救车通过的交通灯控制实验设计
  3. 所属分类:交通

    • 发布日期:2009-12-28
    • 文件大小:207872
    • 提供者:swt1988
  1. EDA VHDL 交通灯实验代码

  2. EDA VHDL 交通灯实验代码 红绿黄三个灯,模拟十字路口。
  3. 所属分类:交通

    • 发布日期:2009-12-28
    • 文件大小:242688
    • 提供者:cnlison
  1. 微机原理与接口技术课程设计交通灯

  2. 这是微机原理与接口课程设计交通灯的代码!很有用的!
  3. 所属分类:交通

    • 发布日期:2009-12-28
    • 文件大小:6144
    • 提供者:zangnan1987
  1. VHDL语言交通灯全程序设计

  2. 唉......交通灯是EDA设计的重要课程,对于初学EDA 语言的同学有更好的帮助。
  3. 所属分类:交通

    • 发布日期:2009-12-29
    • 文件大小:123904
    • 提供者:gr1989522
« 1 2 ... 9 10 11 12 13 1415 16 17 18 19 ... 50 »