您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 信号发生器的故障自检办法

  2. 一、如何选择一款合适的信号发生器? 函数/任意波形发生器是一种产生标准函数信号,并可以产生任意波形的仪器。函数/任意波形发生器的选型需要考虑几个重要的参数,包括信号输出频率,采样率,幅度范围,准确度、信号质量、任意波长度等等。每项指标都与我们实际测试的需求及应用相关。 以下为西安安泰分享信号发生器选择的八个要素,希望能够对大家有所帮助: 1、采样速率 采样率通常用每秒百万样点或每秒千兆样点表示,指明了仪器可以运行的时钟速率或采样率。采样率影响着主要输出信号的频率。一般来说,您应该选择采样
  3. 所属分类:其它

    • 发布日期:2021-01-20
    • 文件大小:79872
    • 提供者:weixin_38639872
  1. LabWindows/CVI 在虚拟信号发生器设计中的应用

  2. 摘要:通过虚拟信号发生器的设计,阐述利用LabWindows/CVI 进行虚拟仪器设计的方法、步骤和实现技术,并借此展望虚拟仪器的广阔应用前景。利用其软件开发平台,按步骤阐述了虚拟信号发生器设计和实现过程,终实现了信号发生器的设计,该信号发生器可以选择波形以及幅值和频率,功能可以由用户根据需要自行设计或扩展,人机界面友好。      引言   20 世纪80 年代中后期,国外提出了“虚拟仪器”、“虚拟系统”的概念,随着微电子技术、计算机技术的飞速发展及其在测量与仪器上的应用,测试领域的新理论
  3. 所属分类:其它

    • 发布日期:2021-01-20
    • 文件大小:605184
    • 提供者:weixin_38614377
  1. 序列信号发生器

  2. 序列信号是指在同步脉冲作用下循环地产生一串周期性的二进制信号.能产生这种信号的逻辑器件就称为序列信号发生器.根据结构不同,它可分为反馈移位型和计数型两种.   1、移位型序列信号发生器   1.移位型序列信号发生器的组成   移位型序列信号发生器是由移位寄存器和组合电路两部分构成,组合电路的输出,作为移位寄存器的串行输入。由n位移位寄存器构成的序列信号发生器所产生的序列信号的长度为:P=2n   2.移位型序列信号发生器的设计(我们通过例题来说明)   例1.试设计一个00011101
  3. 所属分类:其它

    • 发布日期:2021-01-20
    • 文件大小:98304
    • 提供者:weixin_38728183
  1. 基于SOPC技术的SVPWM信号发生器的实现

  2. 摘要:本文提出了一种基于SOPC技术的SVPWM信号发生器的实现策略,采用Verilog HDL定制系统需要的外设,并在FPGA芯片内部嵌入一个Nios11处理器软核,从而采用软硬件协同工作的方式来实现SVP调制算法。该方案结合了SVPWMI与SOPC技术的优点,在高性能的控制系统中有重要的应用价值,为设计高性能的电机控制系统提供了一种全新的实现方法。在Altera公司Cyclone 111系列的EP3C25 FPGA芯片上进行实验,验证了系统的可行性与正确性。   1.引言   SVPWM
  3. 所属分类:其它

    • 发布日期:2021-01-20
    • 文件大小:156672
    • 提供者:weixin_38621427
  1. 基于SOPC的DDS信号发生器设计

  2. 摘  要:直接数字频率合成技术是一种新型的信号产生方法,是现代信号源的发展方向。该系统由FPGA 控制模块、键盘、LED 显示组成,结合DDS 的结构和原理,采用SOPC 和DDS 技术,设计出具有频率设置功能的多波形信号发生器。以Altera 公司的CycloneⅡ的器件EP2C35 为例,NIOS ⅡCPU 通过读取按键的值,实现任意步进、不同波形的输出显示功能。   0 引 言   直接数字频率合成( Dir ect Dig ital Frequency Synthesis,DDS)
  3. 所属分类:其它

    • 发布日期:2021-01-20
    • 文件大小:629760
    • 提供者:weixin_38674512
  1. 制作调频信号发生器以及其原理

  2. 介绍的调频信号发生器,精度高,工作可靠,可作为调频接收机的高频、中频、鉴频器信号源。   凡是产生测试信号的仪器,统称为信号源,也称为信号发生器,信号发生器是一种能提供各种频率、波形和输出电平电信号,常用作测试的信号源或激励源的设备,英文名称:signal generator 。根据频段的不同在不同的领域应用,所使用的信号源也不同,即信号发生器不同。在电子实验和测试处理中,并不测量任何参数,而是根据使用者的要求,仿真各种测试信号,提供给被测电路,以达到测试的需要。   信号发生器是基础的通用仪器
  3. 所属分类:其它

    • 发布日期:2021-01-20
    • 文件大小:50176
    • 提供者:weixin_38626080
  1. 基于ML2035低频正弦信号发生器的设计

  2. 摘要: 在电子和通信产品中往往需要高精度的正弦信号, 而传统的正弦信号发生器在输出低频时往往频率稳定度和精度等指标都不高。而Micr o Linear 公司的ML2035 是一款运用直接数字合成技术( DDS) 研制的正弦信号发生器, 它可以在几乎不需要外部微处理器和其他外围器件的条件下, 产生从0~ 25 kHz 的正弦信号, 通过外接晶振作为时钟输入, 通过74LS20 产生16 位频率控制字来控制ML2035 的频率输出。因此利用此芯片设计了100 Hz 低频正弦信号发生器电路, 可以简化
  3. 所属分类:其它

    • 发布日期:2021-01-20
    • 文件大小:483328
    • 提供者:weixin_38621386
  1. 基于AD9851信号发生器的设计

  2. 摘要:基于直接数字频率合成(DDS)原理,采用AD9851型DDS器件设计一个信号发生器,实现50 Hz~60 MHz范围内的正弦波输出。通过功率放大,在50Ω负载的情况下,该信号发生器在50 Hz~10 MHz范围内输出稳定正弦波,电压峰峰值为0~5V±0.3V.   0 引言   直接数字合成(Direct Digital Synthesis-DDS)是近年来新的电子技术。单片集成的DDS产品是一种可代替锁相环的快速频率合成器件。DDS是产生高精度、快速变换频率、输出波形失真小的优先选用
  3. 所属分类:其它

    • 发布日期:2021-01-20
    • 文件大小:363520
    • 提供者:weixin_38742571
  1. 利用信号发生器+功率放大器+泰克示波器解决高频MEMS测试问题

  2. 近期,某实验室咨询高频MEMS测试,需要实现正弦波和方波驱动,信号带宽高达7MHz,驱动电平需要达到50Vp-p,需要具备扫频功能和DC+AC工作模式,目前市面上的标准信号发生器输出电压低,电压小于10Vp-p带负载能力弱,输出电流是mA级别,无法解决高压大功率驱动问题。  如何解决高频MEMS测试问题  通过和客户技术交流,了解到高频MEMS   器件主要是一种压电控制器件,主要是利用其压电效应和逆压电效应能够实现机械能与电能的转换,可以通过将压电控制器件在高频电信号的激励下产生高频振动,激发
  3. 所属分类:其它

    • 发布日期:2021-01-20
    • 文件大小:54272
    • 提供者:weixin_38694800
  1. STM32示波器 信号发生器

  2. 本示波器有效测量频率0.1 ~ 100KHz   双通道   集成信号发生器   时间轴每格 1,2,5递增范围:2us ~ 1s   时间轴每屏12格   硬件结构:   主芯 stm32zet6   触摸屏 SSD1289 320*240 RGB565   AD: STM32内部ADC 1MHz采样率   双通道。   (设计扩展外部高速AD,上述原因没能得以完成)   DA:内部DAC 20K以内正弦波,锯齿波和方波。   平台:uCOSIII,   图形:uCG
  3. 所属分类:其它

    • 发布日期:2021-01-20
    • 文件大小:376832
    • 提供者:weixin_38743737
  1. 是德科技推出首款具有 2 GHz 带宽的双通道 44 GHz矢量信号发生器

  2. 高性能 VXG 微波信号发生器可满足 5G 和卫星通信领域的宽带毫米波应用的需求是德科技推出款双通道微波信号发生器,该产品可在同一台仪器中支持 44 GHz 的信号和 2 GHz 的射频(RF)调制带宽。     通过降低测试设置的复杂度并减少无线空口(OTA)测试环境下的路径损耗,是德科技的新型 VXG 微波信号发生器可满足 5G 和卫星通信中非常苛刻的宽带毫米波(mmWave)应用的需求。   许多 5G 新空口(NR)应用都在使用更宽的信道带宽和在毫米波频谱内工作的有源
  3. 所属分类:其它

    • 发布日期:2021-01-20
    • 文件大小:116736
    • 提供者:weixin_38737335
  1. 基于FPGA实现的计算机与HDTV显示器测试信号发生器

  2. 摘要:为产生满足14种计算机并兼容4种高清晰度电视(HDTV)视频标准的13种测试图案信号,研究开发了计算机与高清晰度电视显示器测试信号发生器.采用现场可编程门阵列(FPGA)完成测试图案数据存储、各种视频标准时序产生及系统控制信号产生等功能.利用FPGA的现场可编程功能,采用多个EPROM存储FPGA配置.采用频率发生器技术为多种视频标准提供时钟信号.实践表明,以上方法可行,且成本降低,尺寸从15cm×21.5cm减小到11cm×14cm.  关键词:显示器测试信号发生器;现场可编程门阵列;频
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:240640
    • 提供者:weixin_38517892
  1. 基于FPGA的VGA图象信号发生器设计

  2. 1、引言   VGA(视频图形阵列)作为一种标准的显示接口在视频和计算机领域得到了广泛的应用。VGA图像信号发生器是电视台、电视机生产企业、电视维修人员常用的仪器,其主要功能就是产生标准的图像测试信号。   VGA图像信号发生器的设计涉及到图像数据的处理,对电路的工作速度和性能要求较高,VGA工业标准要求的时钟频率高达25MHz,使用传统的电子电路设计方法是难以实现的。采用专用的视频处理芯片,其设计技术难度大、开发成本高。本文采用FPGA+MCU方案,利用了Cyclone系列的FPGA高达上
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:281600
    • 提供者:weixin_38733676
  1. 基于FPGA的DDS信号发生器设计

  2. 0 引 言   信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。函数信号发生器的实现方法通常是采用分立元件或单片专用集成芯片,但其频率不高,稳定性较差,且不易调试,开发和使用上都受到较大限制。随着可编程逻辑器件(FPGA)的不断发展,直接频率合成(DDS)技术应用的愈加成熟,利用DDS原理在FP-GA平台上开发高性能的多种波形信号发生器与基于DDS芯片的信号发生器相比,成本更低,操作更加
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:273408
    • 提供者:weixin_38692100
  1. 基于FPGA的数字电视信号发生器的设计与实现

  2. 摘要:本文设计了一种基于FPGA的数字电视信号友生器,该信号发生器以一种单芯片多配置的方案,针对系统各部分功能特性和性能进行选片没计,并利用FPGA内部存储资源来生成各种测试信号的图像。   电视信号的数字化使得数字电视设备越来越受到广大电子消费者的青睐,如何选择自己理想的数字电视产品,也成了消费者关心的问题,评价、测试电视系统与设备运行的质量状况成为广播电视行业所关注的热点。而数字电视信号发生器能提供可视的测试图像信号,直观、快捷的测试方法,因此,数字电视信号发生器成为目前电子设计的热门研究
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:219136
    • 提供者:weixin_38621250
  1. 基于FPGA的可调信号发生器

  2. 摘要:基于FPGA的应用技术,采用Altera公司DE2-70开发板的CycloneⅡ系列EP2C70作为器件,设计了一种基于FPGA的新型可调信号发生器。通过QuartusⅡ软件及Vetilog HDL编程语言设计LPM_ROM模块定制数据ROM,并通过地址指针读取ROM中不同区域的数据,根据读取数据间隔的不同,实现调整频率功能,该系统可产生正弦波、方波、三角波和锯齿波4种波形信号,并使用嵌入式逻辑分析仪对产生的不同波形信号进行实时测试,实验证明,该可调信号发生器系统软件模拟数据和理论定制波形
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:564224
    • 提供者:weixin_38701407
  1. 一种新型的正弦信号发生器的设计与实现

  2. 摘要:为地输出正弦波、调幅波、调频波、PSK、ASK等信号及保证信号的高可靠性,设计出一种新型的正弦信号发生器。该正弦信号发生器以可编程逻辑器件CPLD和单片机AT89S52为基础,采用数字频率合成DDS技术实现频率合成功能,结合高速D/A器件AD9713使得输出频率维持在1 k~10 MHz范围内,步进为100 Hz,且通过对CPLD采用相应的数字控制算法实现调频FM,调幅AM和键控PSK、ASK数字调制功能。测试结果表明,设计的正弦信号发生器输出信号稳定度优于10-4,在频率范围内50 Ω的
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:708608
    • 提供者:weixin_38581447
  1. 基于FPGA的三相函数信号发生器设计

  2. 摘要:基于FPGA的三相函数信号发生器以DDS为,在Altera公司CycloneⅡ系列EP2C8T144C8上实现正弦波、方波、三角波和锯齿波信号的产生,利用单片机PICl8F4550控制波形的频率及相位差。同时单片机通过DAC0832控制波形数据转换DAC902参考电压实现在波形幅度的控制,D/A输出的波形经过放大、滤波后输出。波形参数的输入输出通过触摸屏和液晶屏实现,测试结果显示该系统具有较高的精度和稳定性。   模拟函数信号发生器输出波形易受输入波形的影响,难以实现移相控制,移相角度随
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:641024
    • 提供者:weixin_38724363
  1. 一种基于FPGA的VGA图象信号发生器设计

  2. 1、引言   VGA(视频图形阵列)作为一种标准的显示接口在视频和计算机领域得到了广泛的应用。VGA图像信号发生器是电视台、电视机生产企业、电视维修人员常用的仪器,其主要功能就是产生标准的图像测试信号。   VGA图像信号发生器的设计涉及到图像数据的处理,对电路的工作速度和性能要求较高,VGA工业标准要求的时钟频率高达25MHz,使用传统的电子电路设计方法是难以实现的。采用专用的视频处理芯片,其设计技术难度大、开发成本高。本文采用FPGA+MCU方案,利用了Cyclone系列的FPGA高达上
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:290816
    • 提供者:weixin_38601446
  1. 基于FPGA LPM多功能信号发生器设计

  2. 摘要: 以FPGA 芯片为载体, 通过QuartusII 的LPM_ROM 模块和VHDL 语言为设计一个多功能信号发生器,根据输入信号的选择可以输出递增锯齿波、递减锯齿波、三角波、阶梯波和方波等5 种信号,通过QuartusII 软件进行波形仿真、定时分析,仿真正确后,利用实验板提供的资源,到芯片中实现预定功能。   信号发生器又称为波形发生器, 是一种常用的信号源,广泛应用于电子电路、通信、控制和教学实验等领域。它是科研及工程实践中重要的仪器之一, 以往多用硬件组成,系统结构比较复杂,可维
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:473088
    • 提供者:weixin_38629449
« 1 2 ... 45 46 47 48 49 50»