您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 天涯论坛的EXCEL阅读器(用宏写的,适合上班族用)V1.3C

  2. 天涯论坛的EXCEL阅读器(用宏写的,适合上班族用) V1.3C是最新更新的! 以下是原作者V1.3b的介绍: 1、可浏览更多内容,V1.3b可支持以下各版面(共20个版面)的内容:    国际观察、舞文弄墨、娱乐八卦、情感天地    开心乐园、天涯杂谈、时尚资讯、煮酒论史    关天茶舍、影视评论、音乐天地、闲闲书话    旅游休闲、仗剑天涯、经济论坛、莲蓬鬼话    天涯时空、房产观澜、股市论谈、球迷一家,可以说内容更丰富了,不过占用内存也大了,呵呵   2、增加了跳转至某页的功能,无论多
  3. 所属分类:C

    • 发布日期:2009-08-11
    • 文件大小:368640
    • 提供者:sixme
  1. 鬼泣4多功能12项属性修改器

  2. F1:无敌 F2:无限魔人 F3:无限EX(需先储气,然后不会减) F4:无限灾厄(但丁的“潘朵拉”能量) F5:无限RG(Royal Guard Style 需要的能量。战场中也可无限使用恶魔重甲) F6:无限全魂(2 种魂全无限) F7:无限物品(6 种物品全无限) F8:一击必杀 F9:无限时间 F10:无限段跳(必需先学会基本的2段跳) F11:瞬间SSS (随便打中敌人 1 下) INSERT:保存当前坐标(保存当前控制人物的坐标。此乃按下 HOME 键前的铺垫) HOME :返回之
  3. 所属分类:其它

    • 发布日期:2009-12-23
    • 文件大小:25600
    • 提供者:langongyong
  1. 数电实验 熟悉软件Maxplus II

  2. 熟悉软件Maxplus II 报警器 三人表决器 通过半加器设计1位全加器 全减器的使用
  3. 所属分类:专业指导

    • 发布日期:2009-12-27
    • 文件大小:3145728
    • 提供者:loonge
  1. eda 实验报告 南昌大学

  2. 实验一 1位二进制全减器设计 ;实验二 模可变计数器设计;实验三 序列信号发生器与检测器设计;实验四 数字钟设计
  3. 所属分类:专业指导

    • 发布日期:2010-01-07
    • 文件大小:4194304
    • 提供者:jj123x
  1. 多维数据查询MDX教程(全)

  2. MDX 中的重要概念 使用成员、元组和集 (MDX) MDX 语法元素 标识符 表达式 使用多维数据集表达式和子多维数据集表达式 使用维度表达式 使用成员表达式 使用元组表达式 使用集表达式 使用标量表达式 使用空值 运算符(MDX 语法) 算术运算符 位运算符 比较运算符 串联运算符 集运算符 一元运算符 赋值运算符 函数(MDX 语法) 使用字符串函数 使用数学函数 使用逻辑函数 使用成员函数 使用元组函数 使用集函数 使用维度函数、层次结构函数和级别函数 使用存储过程 (MDX) 注释(
  3. 所属分类:QT

    • 发布日期:2010-11-22
    • 文件大小:4194304
    • 提供者:routian
  1. EDA实验报告

  2. 本资源为基于QuartusII的用VHDL语言编写的EDA实验程序及报告,主要包括全加器,全减器,模可变计数器,序列发生器等是设计!
  3. 所属分类:嵌入式

    • 发布日期:2012-05-20
    • 文件大小:207872
    • 提供者:lin179590026fly
  1. 简单多媒体视频播放器

  2. 课程设计的题目,用VC6.0编制的简单多媒体播放器。已实现的功能有:播放、暂停、停止、上一曲、下一曲、全屏、文件打开、播放列表打开、文件拖入播放、点击暂停、媒体文件的文件名 时间 大小 类型等属性读取并显示、左右声道控制、音量加减、静音功能、音量滑条、播放模式选择、位图按钮、按钮提示、独立播放进度条、播放进度滑条、关于对话框。Windows media player、wmp、VC、play、pause、stop、fullscreen、fileopen、DropFiles、ToolTips、Sl
  3. 所属分类:C/C++

    • 发布日期:2012-06-25
    • 文件大小:372736
    • 提供者:czczs
  1. VHDL实验代码示例

  2. 四输入表决器 2位二进制相乘电路 一位二进制全减器
  3. 所属分类:硬件开发

    • 发布日期:2012-11-22
    • 文件大小:319488
    • 提供者:shiroudeniu
  1. 多维数据查询MDX教程(全)

  2. MDX 中的重要概念 使用成员、元组和集 (MDX) MDX 语法元素 标识符 表达式 使用多维数据集表达式和子多维数据集表达式 使用维度表达式 使用成员表达式 使用元组表达式 使用集表达式 使用标量表达式 使用空值 运算符(MDX 语法) 算术运算符 位运算符 比较运算符 串联运算符 集运算符 一元运算符 赋值运算符 函数(MDX 语法) 使用字符串函数 使用数学函数 使用逻辑函数 使用成员函数 使用元组函数 使用集函数 使用维度函数、层次结构函数和级别函数 使用存储过程 (MDX) 注释(
  3. 所属分类:Oracle

    • 发布日期:2013-01-30
    • 文件大小:4194304
    • 提供者:liuxiaochen123
  1. SelectAll全选

  2. 删除之类操作需要全选功能,方便选择 public class MainActivity extends Activity { private ListView lv; private MyAdapter mAdapter; private ArrayList list; private Button bt_selectall; // private Button bt_cancel; // private Button bt_deselectall; private int checkNum;
  3. 所属分类:Android

    • 发布日期:2015-07-13
    • 文件大小:1048576
    • 提供者:hqh0224
  1. Multisim 仿真电路系列-数字电路

  2. 555定时电路的单稳态工作方式.ms8 555定时电路的无稳态工作方式.ms8 74ls194芯片的使用.ms8 74ls194芯片的使用(开关表示).ms8 J-K触发器.ms8 S.ms8 任意进制计数器的仿真分析.ms8 全减器的仿真设计.ms8 全加逻辑电路.ms7 可编程任意波形信号发生器.ms8 多路功能选择器的功能仿真测试.ms8 数值比较器的仿真.ms8 数据选择器的仿真.ms8 数据选择器的仿真(逻辑分析仪).ms8 数模DA转换电路的仿真.ms8 模数AD与转换电路的仿真.
  3. 所属分类:嵌入式

    • 发布日期:2009-04-03
    • 文件大小:1048576
    • 提供者:huang_wen_jie
  1. 设计实现一个加/减法器

  2. 设计实现一个加/减法器,该电路在M控制下进行加、减运算。当M=0时,实现全加器功能;当M=1时,实现全加器功能。
  3. 所属分类:硬件开发

    • 发布日期:2018-05-14
    • 文件大小:65536
    • 提供者:bo123_
  1. EDA上机报告TD触发器设计等

  2. 异步复位的可加减控制的 50 进制加减计数器。一位半减器 利用元件例化方法设计一位全减器。 不带置位/复位的 T 触发器。 同步置位/复位 D 触发器
  3. 所属分类:硬件开发

    • 发布日期:2018-11-23
    • 文件大小:485376
    • 提供者:csdnwbdream
  1. 一位的全加法器,四位加减法器设计(logisim).zip

  2. 在Logisim设计一个用4个FA4构成一个4位的加减法器:可以在引脚输出结果,并显示在LED上。输入采用手动设置引脚
  3. 所属分类:讲义

    • 发布日期:2020-04-29
    • 文件大小:3072
    • 提供者:liuyiming2019
  1. 1.8位可控加减法器.jpg

  2. 运算器实验 8位可控加减法器 sub=0时表示加法,否则减法 我们可以用8个一位全加器串行进位实现8位加法 如果要做减法就加上减数的补码,这里的补码可以按位取反(即异或1),再最低位加1(即最低位给一个进位信号)
  3. 所属分类:数据库

    • 发布日期:2020-04-22
    • 文件大小:99328
    • 提供者:weixin_45242355
  1. web本地视频播放器源码

  2. 完整代码,需要web服务器,(直接拖动到浏览器可以加载但不能播放) 实现功能需求: 1,禁用默认视频控制栏,自定义视频进度,音量控制栏 2,按空格,上下左右,实现视频暂停,音量加减,视频前进后退(除此在网页内禁用除了f5 f12 及视频操作键以外的键) 3,禁用鼠标在video时的滑轮事件改为音量 4,用input type=“file” 获取文件地址。可以上传多个视频,或文件夹,(过滤非MP4) 5,实现全屏,及全屏修改自定义控制栏样式 6,由于浏览器全屏时不能获取有关节点,则监
  3. 所属分类:Javascript

    • 发布日期:2019-12-29
    • 文件大小:4194304
    • 提供者:liuyonghhh
  1. 压力变送器. 选型手册.pdf

  2. 压力变送器. 选型手册pdf,压力变送器. 选型手册原S900系列 新的S900系列 □结构 接线盒的位置是在指示表头的背面,不同于原S900 新的S900取代原S900,表头和变送器引压管可成 90°。 新的S900能水平或垂直连接。由于水平连接是标准 的,智能表头能旋转+/-90°,从原来状态转到垂直状态 □测量范围的组态 要在出厂前校准测量范围,在选型时应选“C7 □重新设计的连接法兰任选种类) 不锈钢是标准的。当容器材质为PVC时,连接法兰也 是PVC,其他是用不锈钢。 连接法兰的平面和
  3. 所属分类:其它

    • 发布日期:2019-10-31
    • 文件大小:3145728
    • 提供者:weixin_38743602
  1. 基于周期极化反转铌酸锂光波导的全光信号处理

  2. 利用周期极化反转铌酸锂(PPLN)光波导中和频(SFG)、级联倍频(SHG)和差频(DFG)、级联和频与差频等二阶和级联二阶非线性效应,提出并理论研究了基于单个PPLN光波导实现40 Gbit/s全光半加器、半减器、与门、或门、异或门等多种逻辑功能。提出并理论研究了基于PPLN光波导环形镜结构实现非归零码(NRZ)到归零码(RZ)的全光码型转换。实验验证了10 Gbit/s和20 Gbit/s基于PPLN光波导NRZ到RZ的全光码型转换。
  3. 所属分类:其它

    • 发布日期:2021-02-26
    • 文件大小:643072
    • 提供者:weixin_38531788
  1. 利用半导体光放大器实现10 Gb/s全光半减器的组合逻辑实验研究

  2. 提出了一种新型全光半减器组合逻辑方案。该方案基于两个半导体光放大器(SOA)和窄带光滤波器(NOBPF)。利用SOA的四波混频(FWM)和交叉增益调制(XGM)效应, 通过调整NOBPF的中心波长, 第一个SOA产生逻辑“·B”门, 提供半减器的“借位”, 同时该SOA产生“同或”逻辑; 第二个SOA产生“非”逻辑, 两个SOA级联后产生逻辑“异或”门, 提供半减器的“差”位。实验中, 实现了两路10 Gb/s伪随机归零(RZ)码信号间的全光半减逻辑运算。
  3. 所属分类:其它

    • 发布日期:2021-02-10
    • 文件大小:1048576
    • 提供者:weixin_38572115
  1. 基本的二进制加法/减法器

  2. 两个二进制数字Ai,Bi和一个进位输入Ci相加,产生一个和输出Si,以及一个进位输出Ci+1。表2-2中列出一位全加器进行加法运算的输入输出真值表。根据表2-2所示的真值表,三个输入端和两个输入端可按如下逻辑方程进行联系:Si=Ai⊕Bi⊕CiCi+1=AiBi+BiCi+CiAi表2-2一位全加器真值表      输入输出AiBiCiSiCi+10000000110010100110110010101011100111111 按此表达式组成的一位全加器(FA)的逻辑结构见图2-4(a)。图2-
  3. 所属分类:其它

    • 发布日期:2021-02-03
    • 文件大小:77824
    • 提供者:weixin_38538950
« 1 23 4 5 6 7 8 9 10 ... 14 »