您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 电子钟 毕业设计 论文

  2. 电子钟 毕业设计 论文 1.电子钟 显示时、分、秒。 2.具有定时报警功能;每天可设置4个报警时间(时、分)。 3.能借助键盘,设置时钟及报警时间。 4.报警时,蜂鸣器鸣响1秒,然后停止。 5.具有秒表功能,可以储存五个数据,并且读出数据。
  3. 所属分类:硬件开发

    • 发布日期:2011-12-18
    • 文件大小:2097152
    • 提供者:a1324920909
  1. 按键秒表\计数器

  2. 基于郭老师的单片机,写的具有按键控制功能的秒表,计数器; 可控制秒表的启动,停止·,清零;还可进行加一计数操作,同时可以计数清零。 程序没有松手检测,适合计算机模拟,可用来练习定时器中断,按键扫描,串口控制。
  3. 所属分类:C/C++

    • 发布日期:2013-01-01
    • 文件大小:5120
    • 提供者:lst445665585
  1. 电子小时钟

  2. 桌面小工具,具有整点报时 日期显示 星期显示 秒表功能,小巧玲珑,很好用 用DELPHI开发
  3. 所属分类:Delphi

    • 发布日期:2013-03-28
    • 文件大小:199680
    • 提供者:gzxyu
  1. 关于用51单片机实现秒表、闹铃

  2. 设计一个带闹铃功能的秒表,在 4 个数码管上显示,左边两个数码管显示 分,右边两个数码管显示秒。秒表具有闹铃模式:任意设定闹铃时间,秒表计数到达闹铃时间后,4个数码管闪烁,且有相应声光提示,持续0.5s。 秒表可存储五组计数数据,可按键用显示
  3. 所属分类:硬件开发

    • 发布日期:2013-04-25
    • 文件大小:5120
    • 提供者:u010459622
  1. 秒表(基于51单片机实现)

  2. 使用51单片机定时器实现具有启动、暂停、复位功能的简易秒表显示
  3. 所属分类:C/C++

    • 发布日期:2013-12-08
    • 文件大小:1024
    • 提供者:vincent_0_
  1. 数字电子秒表

  2. 1)秒表由6 位七段LED显示器显示,其中两位显示“min”,四位显示“s”,显示分辨率为0.01 s; 2)计时最大值为99 min59.99s; 3)计时误差不得超过0.01s; 4)具有清零、启动计时、暂停计时及继续计时等控制功能; 5)控制操作按键不得超过2个。
  3. 所属分类:其它

    • 发布日期:2014-06-17
    • 文件大小:369664
    • 提供者:gsd199423
  1. EDA数字秒表

  2. 1.利用VHDL语言设计基于计算机电路中时钟脉冲原理的数字秒表。该秒表计时范围为0秒~59分59.99秒,显示的最长时间为59分59秒,计时精度为10毫秒,并且具有复位功能。复位开关一旦打开所有位都为0。 2.秒表有共有6个输出显示,分别为百分之一秒、十分之一秒、秒、十秒、分、十分,所以共有6个计数器与之相对应,6个计数器的输出全都为BCD码输出,这样便与同显示译码器的连接。
  3. 所属分类:专业指导

    • 发布日期:2014-06-27
    • 文件大小:212992
    • 提供者:u010383172
  1. basys3_VHDL_数字秒表

  2. 它具有计时功能。此秒表有两个按键(reset, start)按下reset键后,秒表清零,按下start键后,开始计时, 再次按下start键后, 停止计时, 用FPGA开发板上的两个七段数码管显示时间(以秒为单位),计时由0 到 59 循环。 高级要求(可选):实现基本要求的前提下,增加一个按键(select),用于轮流切换两个七段数码管分别显示百分之一秒,秒,分钟。 规格说明: 1.通过按下reset键(异步复位),将秒表清零,准备计时,等检测到start键按下并松开后,开始计时 。如果再
  3. 所属分类:其它

    • 发布日期:2016-04-21
    • 文件大小:1048576
    • 提供者:u014286918
  1. 微机原理课程设计(电子秒表的)

  2. 一、 设计任务 1、 计时秒表,具有分、秒和百分之一秒的计时功能。 2、 可以在屏幕中央显示计时结果,屏幕显示彩色图案和文字。 3、 秒表具有键控启/停功能,而且可以随时通过键盘复位,清零。
  3. 所属分类:专业指导

    • 发布日期:2009-01-03
    • 文件大小:179200
    • 提供者:user624
  1. 多功能数字钟(A题)

  2. 本系统以单片机P89C58为核心控制部件。设计电路由实时时钟日历模块、环境温度采集模块、人机接口模块、电源模块等部分组成。其中实时时钟芯片采用串行通讯的DS1302,可实现年月日星期时分秒等时间信息的采集和闹钟功能。温度采集模块采用DS18B20集成温度传感器,可对现场环境温度进行实时采集。人机接口模块中,键盘只由简单的5个按键组成,利用中断扩展的方法实现。操做非常简便和人性化。显示部分则由KXM1602C实现两行16个字符的液晶显示。电源模块自制电源供电(220V),同时还有智能充电装置。
  3. 所属分类:硬件开发

    • 发布日期:2009-04-01
    • 文件大小:394240
    • 提供者:liushahe0127
  1. 单片机课程设计

  2. 课程设计方案设计一个带有指针、数字时钟,并且具有秒表功能,能方便我们的使用和操作,为我们以后的使用创造一个良好的平台。把自己所学的理论知识运用到实际操作中去,以此来发现自己的不足,及时的改正。在实际的操作中学习及运用还没有学过的知识。最后在调试与测试的过程还可以提升自己的改错能力也是自己经验的积累!
  3. 所属分类:嵌入式

    • 发布日期:2018-06-02
    • 文件大小:95232
    • 提供者:weixin_42372134
  1. 数字电子钟verilog课程设计

  2. (1)设计一个能自动计时的电子钟,利用数码管分别显示当前的小时,分钟, 秒。 (2)可以通过按键对当前小时、分钟进行调整设置。 (3)在调节当前时间的模式下,短按可实现加法,当长按调节分钟的按键两 秒以上时可实现快速连加(每秒四次加一)。 (4)具备闹钟功能,可通过按键设定闹钟时间,当当前时间到达闹钟设定时 间时,LED 按照预设花型闪烁,并播放闹铃音乐。 (5)具备整点报时功能,当当前时间为整点时,LED 组闪烁,并播放整点 报时音乐。 (6)具备秒表功能,启动秒表功能时,通过 switch
  3. 所属分类:嵌入式

    • 发布日期:2018-09-29
    • 文件大小:15360
    • 提供者:qq_35857421
  1. multisim 多功能电子钟.zip

  2. 具体要求: 1、按下启动按钮,进入时钟运行模式;按下停止按钮,系统清零停止工作(全部显示内容也都熄灭); 2、系统包括时钟、秒表和计时器三种功能模式,用三盏灯分别指示三种模式;设置一个模式切换按钮,按一次按钮,从时钟模式切换进入秒表模式,再按一次按钮,从秒表模式切换进入计时器模式,第三次按下按钮,从计时器模式切换进入时钟模式,继续按下切换按钮,则切换顺序如上所述; 3、时钟模式(24小时制): (1)完成时、分、秒的计时和显示;按下启动按钮时,时钟从零时零分零秒开始计时; (2)可通过按钮手动调
  3. 所属分类:其它

    • 发布日期:2020-05-22
    • 文件大小:5242880
    • 提供者:qq_43634001
  1. 基于AT89C51单片机的秒表的实现.zip

  2. 在本次设计中,采用AT89C51单片机中的定时器/计数器中定时和计数的原理,能使其精确计时;利用中断系统使其能实现开始和复位的功能;整个系统非常精简,且具有灵活的现场可更改性。
  3. 所属分类:嵌入式

    • 发布日期:2020-06-09
    • 文件大小:251904
    • 提供者:m0_47954550
  1. 基于Multisim10的智能秒表设计

  2. 随着经济的发展和人们生活水平的提高,特别是近几年信息技术的发展,智能化的电子产品改变着人类的生活细节。近年来,智能秒表的运用范围也越来越广,其辅助功能越变得越来越重要,它能够实现0~99 s的自动计时、停止、归零等功能,避免了传统由人来控制秒表时间的不变,大幅减小了计算误差,在各种比赛中具有重要的意义。智能秒表利用一种全能的电子电路仿真软件,提高产品的设计质量。
  3. 所属分类:其它

    • 发布日期:2020-07-31
    • 文件大小:88064
    • 提供者:weixin_38679045
  1. Android自定义Chronometer实现短信验证码秒表倒计时功能

  2. 主要介绍了Android自定义ChronometerView实现类似秒表倒计时,短信验证码倒计时功能,具有一定的参考价值,感兴趣的小伙伴们可以参考一下
  3. 所属分类:其它

    • 发布日期:2020-09-01
    • 文件大小:63488
    • 提供者:weixin_38631197
  1. 电子秒表数电实验实验报告

  2. 数电实验 电子秒表 计数器 华中科技大学 包含电路图以及各个模块的解释,从00.00计时到59.99s然后暂停并发出报警信号,具有启动、暂停、连续功能
  3. 所属分类:电信

    • 发布日期:2020-09-24
    • 文件大小:573440
    • 提供者:qq_43389623
  1. 原生js实现秒表计时器功能

  2. 主要为大家详细介绍了原生js实现秒表计时器功能,可以开始、暂停、清除,具有一定的参考价值,感兴趣的小伙伴们可以参考一下
  3. 所属分类:其它

    • 发布日期:2020-10-20
    • 文件大小:29696
    • 提供者:weixin_38624557
  1. JavaScript_StopWatch:具有启动,停止和重置按钮功能的秒表-源码

  2. Javascr ipt_StopWatch 具有启动,停止和重置按钮的秒表。
  3. 所属分类:其它

    • 发布日期:2021-02-23
    • 文件大小:2048
    • 提供者:weixin_42119281
  1. 简单时钟:将精美的时钟与小部件,闹钟,秒表和计时器结合在一起,无广告-源码

  2. 简单时钟 该应用程序具有与计时相关的多种功能。 您可以在时钟上启用显示其他时区的时间,或使用简单但可自定义的时钟小部件。 可以自定义窗口小部件的文本颜色,以及背景的颜色和Alpha。 该警报包含所有期望的功能,例如日期选择,振动切换,铃声选择,暂停或添加自定义标签。 使用秒表,您可以轻松地测量更长的时间或单个圈。 您可以按圈时间对圈进行排序,它也包含按钮按下时的可选振动,即使您无法看清设备,也只是为了让您知道按钮被按下。 您可以轻松设置计时器以通知某些事件。 您既可以更改其铃声,也可以
  3. 所属分类:其它

    • 发布日期:2021-02-04
    • 文件大小:6291456
    • 提供者:weixin_42116681
« 1 2 34 5 6 »