您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. vhdl四位密码锁、可用数码管显示

  2. vhdl 四位密码锁、 可用数码管显示。
  3. 所属分类:C/C++

    • 发布日期:2009-05-07
    • 文件大小:87040
    • 提供者:dupc1988
  1. 主板诊断卡四位诊断卡说明书

  2. 四位诊断卡说明书 主板debug卡的说明书。
  3. 所属分类:专业指导

    • 发布日期:2009-05-11
    • 文件大小:478208
    • 提供者:beyondcy
  1. A5461AH四位共阴数码管引脚图详解

  2. 上次在CSDN上传了我制作的A5461AH四位共阴数码管引脚图(JPEG格式),受到了网友们的好评,同时也给我提了一些很好的建议,给我很大鼓励,在此表示感谢。现把我重新整理的文档再次上传。此文档(word格式)包含了A5461AH四位共阴数码管内部逻辑图、实物引脚图和封装图,希望对您在使用此数码管时能有所帮助。
  3. 所属分类:专业指导

    • 发布日期:2009-05-18
    • 文件大小:240640
    • 提供者:pzcc2008
  1. DS1302四位八段数码管显示的完整程序-C语言

  2. DS1302四位八段数码管显示的完整程序-C语言2007年09月18日
  3. 所属分类:C

    • 发布日期:2009-06-09
    • 文件大小:4096
    • 提供者:littaiizhu
  1. 四位二进制加法器和乘法器

  2. 组成原理 课程设计报告 四位二进制加法器 乘法器
  3. 所属分类:专业指导

    • 发布日期:2009-06-19
    • 文件大小:263168
    • 提供者:yykable
  1. 课程设计:四位数字温度计

  2. 介绍了四位温度计的设计开发过程,对于大学的课程设计有很大帮助,里面有详细的代码,开发过程
  3. 所属分类:专业指导

    • 发布日期:2009-07-14
    • 文件大小:544768
    • 提供者:li149941666
  1. 用2片595驱动四位8段数码管

  2. 用2片74hc595联级驱动四位8段数码管, 实际上,另一个595芯片可用四个三极管代替
  3. 所属分类:嵌入式

    • 发布日期:2009-07-22
    • 文件大小:27648
    • 提供者:wguoliang1988
  1. 四位十进制计数器,他可以实现十进制计数

  2. 四位十进制计数器,实现了单片机的2进制变成十进制,让我们更能了解
  3. 所属分类:硬件开发

    • 发布日期:2009-07-22
    • 文件大小:37888
    • 提供者:wq234124895
  1. MCU51学习例程----四位数码管动态显示.pdf

  2. MCU51学习例程----四位数码管动态显示.pdf
  3. 所属分类:C/C++

    • 发布日期:2009-08-19
    • 文件大小:35840
    • 提供者:a865592238
  1. MAX+PLUSE ii 四位移位寄存器

  2. MAX+PLUSE ii 四位移位寄存器 这个东西蛮好的,很有用,
  3. 所属分类:其它

    • 发布日期:2009-09-26
    • 文件大小:40960
    • 提供者:yinghuashihun
  1. ADC0809四位数码管数字电压表

  2. 利用单片机AT89S51与ADC0809设计一个数字电压表,能够测量0-5V之间的直流电压值,四位数码显示,但要求使用的元器件数目最少
  3. 所属分类:硬件开发

    • 发布日期:2009-12-22
    • 文件大小:2048
    • 提供者:yangzhengyi68
  1. 四位乘法器的VHDL 语言设计 刘姝延1, 杨世平2

  2. 简单易懂的vhdl,设计出四位移位乘法寄存器,程序简明扼要,很不错
  3. 所属分类:专业指导

    • 发布日期:2010-01-08
    • 文件大小:190464
    • 提供者:johnsy123
  1. 四位半电路图设计课程设计 四位半电力路图设计课程设计 四位半电力路图设计

  2. 课程设计 四位半电力路图设计课程设计 四位半电力路图设计课程设计 四位半电力路图设计课程设计 四位半电力路图设计
  3. 所属分类:专业指导

  1. 输入四位十六进制数并倒序输出

  2. 实现任意输入四位十六进制整数,以反序的方式输出十六进制数。例如:输入9AF0,则输出0FA9.
  3. 所属分类:C

    • 发布日期:2010-03-13
    • 文件大小:228
    • 提供者:xuzhuoqing
  1. 数值比较电路、四位比较器的级联、半加和全加的概念

  2. 数值比较电路、四位比较器的级联、半加和全加的概念
  3. 所属分类:专业指导

    • 发布日期:2010-03-17
    • 文件大小:1003520
    • 提供者:smartling
  1. 四位共阳数码管引脚图

  2. 详细的介绍了四位共阳数码管的引脚情况,以及内部电路的组成,能让你详细的了解四位共阳数码管的工作原理以及在电路中所需的链接方法
  3. 所属分类:专业指导

    • 发布日期:2010-05-14
    • 文件大小:192512
    • 提供者:liuyixin01
  1. 简易频率计数器,七分频的,四位显示,multisim10运行

  2. 简易频率计数器,七分频的,四位显示,multisim10运行 因为空间比较小,所以做的有点乱,但是运行起来还是不错的。可以显示,误差很小!
  3. 所属分类:专业指导

    • 发布日期:2010-05-21
    • 文件大小:602112
    • 提供者:junyinhua
  1. 四 位 乘 法 器四 位 乘 法 器

  2. 四 位 乘 法 器,,,,四 位 乘 法 器
  3. 所属分类:专业指导

    • 发布日期:2010-05-22
    • 文件大小:274432
    • 提供者:daijiaershao
  1. 四位加法器和比较器基于VHDL语言

  2. 四位加法器和比较器基于VHDL语言 四位加法器和比较器基于VHDL语言
  3. 所属分类:其它

    • 发布日期:2010-06-04
    • 文件大小:123904
    • 提供者:swp0314
  1. LED引脚《七段共阳+共阴数码管引脚图》四位数码管引脚图

  2. LED引脚《七段共阳+共阴数码管引脚图》四位数码管引脚图
  3. 所属分类:硬件开发

    • 发布日期:2010-06-05
    • 文件大小:190464
    • 提供者:holyvslin
« 12 3 4 5 6 7 8 9 10 ... 50 »