您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 基于DA算法的FIR滤波器设计与实现

  2. 在数字信号处理系统中,FIR数字滤波器多采用专用DSP芯片(如TMS320CXX系列),这种基于DSP的处理系统存在很多优点,比如方案灵活、可操作性强、程序易于移植。但这种结构的滤波器多是根据FIR 滤波器的数据移位相乘累加的算法编写相应软件,利用软、硬件相互结合完成滤波器的设计。由于软件运行时,指令都是串行执行的,这严重制约了系统的运行速率,不能满足高传输速率,大数据吞吐量的数字信号的实时性处理要求。而基于DA算法的FPGA滤波器则是一种采用纯硬件的方式实现FIR 滤波器的方式,这种方法突出
  3. 所属分类:其它

    • 发布日期:2009-05-29
    • 文件大小:129024
    • 提供者:houxinqiang88
  1. 基于FPGA的高速FIR数字滤波器的设计

  2. 一篇利用FPGA实现高速FIR数字滤波器的论文
  3. 所属分类:硬件开发

    • 发布日期:2009-08-14
    • 文件大小:379904
    • 提供者:lyx403
  1. DSP接口电路设计与编程

  2. 内容简介 本书以ADSP2106x、ADSP2116x系列高性能浮点DSP为主,介绍了以数字信号处理器(DSP)为核心的实时数字信号处理的系统设计,详细论述了DSP与多种外围接口电路的设计方法,包括各种存储器、模数和数模转换电路、异步串行接口、地址/数据复用总线、扩展I/O、CPCI总线,以及相关的软件编程和调试方法,还介绍了高速数字电路、数模混合电路的印制板设计方法。 本书面向通信、雷达和电子工程类领域的科研和工程设计人员以及相关专业的研究生和高年级本科生。 目录 第1章 DSP的结构和功能
  3. 所属分类:硬件开发

    • 发布日期:2009-09-26
    • 文件大小:10485760
    • 提供者:menglimin
  1. 单片机应用技术选编(7)

  2. 内容简介    《单片机应用技术选编》(7) 选编了1998年国内50种科技期刊中有关单片机开发应用的文 章共510篇,其中全文编入的有113篇,摘要编入的397篇。全书共分八章,即单片机综合 应用技术;智能仪表与测试技术;网络、通信与数据传输;可靠性与抗干扰技术;控制系统 与功率接口技术;电源技术;实用设计;文章摘要。    本书具有重要实用价值,书中介绍的新技术、新器件以及单片机应用系统的软、硬件资 料有助于减少产品研制过程中的重复性劳动,提高单片机应用技术水平,是从事单片机应用 开发技
  3. 所属分类:硬件开发

    • 发布日期:2010-05-19
    • 文件大小:13631488
    • 提供者:zgraeae
  1. 基于FPGA的FIR数字滤波器设计

  2. 随着可编程逻辑器件的快速发展,现场可编程门阵列(FPGA)在成本、性能、体积等方面都显示出了优势,因此,越来越多的电子工程师为达到信号处理的高速性、实时性和灵活性,使用FPGA来实现FIR数字滤波器
  3. 所属分类:硬件开发

    • 发布日期:2012-01-05
    • 文件大小:1017856
    • 提供者:timeks
  1. EDA/SOPC 技术实验讲义

  2. 第一章 EDA_VHDL 实验/设计与电子设计竞赛 4 1-1、 应用QuartusII 完成基本组合电路设计 5 1-2. 应用QuartusII 完成基本时序电路的设计 6 1-3. 设计含异步清0 和同步时钟使能的加法计数器 7 1-4. 7 段数码显示译码器设计 8 1-5. 8 位数码扫描显示电路设计 9 1-6. 数控分频器的设计 10 1-7. 32 位并进/并出移位寄存器设计 10 1-8. 在QuartusII 中用原理图输入法设计8 位全加器 11 1-9. 在Quartu
  3. 所属分类:硬件开发

    • 发布日期:2012-04-18
    • 文件大小:3145728
    • 提供者:xiaosong89
  1. 基于FPGA的FIR滤波器设计

  2. 随着FPGA 的发展以及相应EDA 软件工具的成熟,FPGA 在高速数字信号处理领域得到了越来越广泛的应用。
  3. 所属分类:软件测试

    • 发布日期:2013-01-08
    • 文件大小:1048576
    • 提供者:dnas0310
  1. 基于改进DA算法和流水线技术的FIR数字滤波器设计

  2. 提出了一种改进的分布式算法和一种具有流水线结构的加法树乘法器,设计了一种在FPGA上实现的FIR数字滤波器。介绍了改进的DA算法,对采用FPGA实现直接型结构移位相加乘法器FIR滤波器和改进DA算法的高速FIR滤波器的性能进行了比较。用VHDL在Quartus II平台上进行了仿真,给出了实现高效滤波功能的设计结果及不同算法的资源占用比较。
  3. 所属分类:其它

    • 发布日期:2020-07-05
    • 文件大小:545792
    • 提供者:weixin_38725426
  1. 基于FPGA的高速FIR数字滤波器的设计

  2. 本文利用FPGA乘累加的快速算法,可以设计出高速的FIR数字滤波器,使FPGA在数字信号处理方面有了长足的发展。
  3. 所属分类:其它

    • 发布日期:2020-08-07
    • 文件大小:228352
    • 提供者:weixin_38750003
  1. 基于FPGA的高速PID控制器设计与仿真

  2. 本设计中所采用的增量式数字PID控制算法的设计思想可以应用到有限长单位脉冲响应(FIR)滤波器和无限长单位脉冲响应(ⅡR)滤波器的FPGA设计中,并且同样可以使用流水线优化技术以提高工作速度。
  3. 所属分类:其它

    • 发布日期:2020-08-13
    • 文件大小:182272
    • 提供者:weixin_38514322
  1. 基于FPGA 的32阶FIR滤波器设计

  2. 研究了一种采用FPGA实现32阶FIR数字滤波器硬件电路方案;讨论了窗函数的选择、滤波器的结构以及系数量化问题;阐述了FIR滤波器的FPGA实现,各模块的设计以及如何优化硬件资源,提高运行速度等问题。实验结果表明了该方法的有效性。   随着软件无线电的发展,对于滤波器的处理速度要求越来越高。传统的FIR滤波器一般采用通用DSP处理器,但是DSP处理器采用的是串行运算,而FPGA是现场可编程阵列,可以实现专用集成电路,另外还可以采用纯并行结构及考虑流水线结构,因此在处理速度上可以明显高于DSP处理
  3. 所属分类:其它

    • 发布日期:2020-10-22
    • 文件大小:869376
    • 提供者:weixin_38662327
  1. 基于FPGA的FIR滤波器设计与仿真

  2. FIR数字滤波器以其良好的线性相位特性被广泛使用,属于数字信号处理的基本模块之一。FPGA具有的灵活的可编程逻辑可以方便地实现高速数字信号处理。为了提高实时数字信号处理的速度,利用FPGA芯片内部的ROM实现一种查找表结构的FIR数字滤波器。并用MATAB对实验结果进行仿真和分析,证明了设计的可行性。
  3. 所属分类:其它

    • 发布日期:2020-10-22
    • 文件大小:181248
    • 提供者:weixin_38733885
  1. 基于FPGA的主从式高速数据采集与传输系统

  2. 针对数据采集系统有信号形式多样、实时传输和灵活配置的要求,介绍了一种基于FPGA的数据采集和传输系统,以及系统数字电路的程序设计。该系统以现场可编程逻辑阵列(FPGA)作为数据采集、预处理、组帧和传输的控制核心,通过低速串口接收控制命令,以高速USB接口向控制台发送采集数据帧,设计了数字FIR滤波器滤除采集电路的信号干扰。测试结果表明,直流(DC)信号的平均测量精度为0.293%,交流(AC)信号的平均测量精度为0.642%,通道间相位差小于10°,适用于遥测和数据处理系统。
  3. 所属分类:其它

    • 发布日期:2020-10-21
    • 文件大小:400384
    • 提供者:weixin_38502814
  1. 基于FPGA的高速FIR数字滤波器的设计

  2. FPGA有着规整的内部逻辑阵列和丰富的连线资源,特别适合于数字信号处理任务,相对于串行运算为主导的通用DSP芯片来说,其并行性和可扩展性更好。但长期以来,FPGA一直被用于系统逻辑或时序控制上,很少有信号处理方面的应用,其原因主要是因为在FPGA中缺乏实现乘法运算的有效结构。本文利用FPGA乘累加的快速算法,可以设计出高速的FIR数字滤波器,使FPGA在数字信号处理方面有了长足的发展。
  3. 所属分类:其它

    • 发布日期:2020-10-21
    • 文件大小:315392
    • 提供者:weixin_38629449
  1. 单片机与DSP中的基于FPGA的高速FIR数字滤波器的设计

  2. 1 引 言   目前FIR滤波器的实现方法主要有3种:利用单片通用数字滤波器集成电路、DSP器件和可编程逻辑器件实现。单片通用数字滤波器使用方便,但由于字长和阶数的规格较少,不能完全满足实际需要。使用DSP器件实现虽然简单,但由于程序顺序执行,执行速度必然不快。   FPGA有着规整的内部逻辑阵列和丰富的连线资源,特别适合于数字信号处理任务,相对于串行运算为主导的通用DSP芯片来说,其并行性和可扩展性更好。但长期以来,FPGA一直被用于系统逻辑或时序控制上,很少有信号处理方面的应用,其原因主要
  3. 所属分类:其它

    • 发布日期:2020-11-30
    • 文件大小:154624
    • 提供者:weixin_38650508
  1. 单片机与DSP中的基于流水线技术的并行高效FIR滤波器设计

  2. 摘要:基于流水线技术,利用FPGA进行并行可重复配置高精度的FIR滤波器设计。使用VHDL可以很方便地改变滤波器的系数和阶数。在DSP中采用这种FIR滤波器的设计方法可以充分发挥FPGA的优势。     关键词:FIR滤波器 FPGA 流水线技术 数字滤波器可以滤除多余的噪声,扩展信号频带,完成信号预调,改变信号的特定频谱分量,从而得到预期的结果。数字滤波器在DVB、无线通信等数字信号处理中有着广泛的应用。在数字信号处理中,传统滤波器通过高速乘法累加器实现,这种方法在下一个采样周期到来期间
  3. 所属分类:其它

    • 发布日期:2020-12-10
    • 文件大小:219136
    • 提供者:weixin_38520192
  1. 单片机与DSP中的基于FPGA流水线分布式算法的FIR滤波器的实现

  2. 摘要:提出了一种采用现场可编码门阵列器件(FPGA)并利用窗函数法实现线性FIR数字滤波器的设计方案,并以一个十六阶低通FIR数字滤波器电路的实现为例说明了利用Xilinx公司的Virtex-E系列芯片的设计过程。对于在FPGA中实现FIR滤波器的关键——乘加运算,给出了将乘加运算转化为查找表的分布式算法。设计的电路通过软件进行了验证并进行了硬件仿真,结果表明:电路工作正确可靠,能满足设计要求。 关键词:FIR滤波器 FPGA 窗函数 分布式算法 流水线随着数字技术日益广泛的应用,以现场可
  3. 所属分类:其它

    • 发布日期:2020-12-10
    • 文件大小:92160
    • 提供者:weixin_38530211
  1. 单片机与DSP中的快速实现基于FPGA的脉动FIR滤波器

  2. 引言   目前,用FPGA(现场可编程门阵列)实现FIR(有限冲击响应)滤波器的方法大多利用FPGA中LUT(查找表)的特点采用DA(分布式算法)或CSD码等方法,将乘加运算操作转化为位与、加减和移位操作。这些结构需要占用器件较多的LE(逻辑元件)资源,设计周期长,工作频率低,实时性差。本文提出一种基于Stratix系列FPGA器件的新的实时高速脉动FIR滤波器的快速实现方法。利 用FGPA集成的DSP(数字信号处理器)乘加模块定制卷积运算单元,利用VHDL(甚高速集成电路硬件描述语言)元件
  3. 所属分类:其它

    • 发布日期:2020-12-07
    • 文件大小:132096
    • 提供者:weixin_38603704
  1. EDA/PLD中的基于FPGA的音频处理芯片的设计

  2. 摘 要:提出一种采用现场可编程门阵列器件FPGA实现音频处理芯片的方案。首先对FIR滤波器的算法进行了改良,然后采用VHDL语言对音频处理芯片的每个模块分别设计。最后通过计算机软件对该芯片进行仿真,给出仿真波形和仿真结果,证明本芯片的设计达到了预期要求。   关键词:FPGA FIR数字滤波器 音频处理 VHDL 1 引言 随着数字技术日益广泛的应用,以现场可编程门阵列FPGA(Field Programmable Gate Array)[1]为代表的ASIC[2]器件得到了迅速的普及和
  3. 所属分类:其它

    • 发布日期:2020-12-06
    • 文件大小:107520
    • 提供者:weixin_38528180
  1. 基于FPGA的音频处理芯片的设计

  2. 摘 要:提出一种采用现场可编程门阵列器件FPGA实现音频处理芯片的方案。首先对FIR滤波器的算法进行了改良,然后采用VHDL语言对音频处理芯片的每个模块分别设计。通过计算机软件对该芯片进行仿真,给出仿真波形和仿真结果,证明本芯片的设计达到了预期要求。   关键词:FPGA FIR数字滤波器 音频处理 VHDL 1 引言 随着数字技术日益广泛的应用,以现场可编程门阵列FPGA(Field Programmable Gate Array)[1]为代表的ASIC[2]器件得到了迅速的普及和发展
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:104448
    • 提供者:weixin_38529436
« 12 »