您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 计算机组成原理实验讲义

  2. 实验一、TEC-4计算机组成原理实验系统认识实验 实验二、运算器组成实验 实验三、双端口存储器原理实验 实验四、数据通路组成实验 实验五、常规型微程序控制器组成实验 实验六、CPU组成与机器指令执行实验 实验七、中断原理实验
  3. 所属分类:讲义

    • 发布日期:2014-10-13
    • 文件大小:1001472
    • 提供者:ligush630
  1. 《计算机组成原理实验指导书》

  2. 计算机组成原理实验包括算术运算器、存储器实验、微程序设计实验等
  3. 所属分类:专业指导

    • 发布日期:2014-12-13
    • 文件大小:1048576
    • 提供者:qq_24480125
  1. 计算机组成原理与系统结构实验教程

  2. 第一章运算器,第二章存储系统,第三章控制器。。。
  3. 所属分类:专业指导

    • 发布日期:2008-10-28
    • 文件大小:1048576
    • 提供者:gzy1224
  1. 计算机组成原理实验报告(基本运算器实验)

  2. 计算机组成原理实验报告,实验名称:基本运算器实验,报告内容详细,包括实验步骤,目的,原理,图解以及结论
  3. 所属分类:讲义

    • 发布日期:2015-10-24
    • 文件大小:220160
    • 提供者:u013079905
  1. 计算机组成运算器实验

  2. 此资源属于计算机组成实验的内容,是我上实验课后自己完成的,包括实验电路图,仿真波形,管脚分配和实验报告。都经过编译仿真并且通过的。供大家参考
  3. 所属分类:嵌入式

    • 发布日期:2008-11-17
    • 文件大小:1048576
    • 提供者:hy113645278
  1. 计算机组成-数据通路实验

  2. 计算机组成-数据通路实验 运算器和存储器的整合电路,解释了运算器和存储器连接在一起后是怎么样协调工作的,数据和地址是怎么样在通路上传输的。包括实验电路图和实验报告。所有电路图都已经编译仿真通过并下载到实验箱上验证过的。供大家参考
  3. 所属分类:嵌入式

    • 发布日期:2008-11-20
    • 文件大小:1048576
    • 提供者:hy113645278
  1. 计算机组成课程设计:微程序控制的运算器设计

  2. 山东大学计算机组成课程设计的第一个实验:微程序控制的运算器设计。压缩包里包含了本实验的Quartus || 8.1项目,直接导入运行就行了。
  3. 所属分类:嵌入式

    • 发布日期:2017-12-18
    • 文件大小:476160
    • 提供者:kswkly
  1. 计算机组成原理—运算器设计实验

  2. 1、 设计及实验内容 方案一:利用四片AM2901构成16位字长的ALU。注意每一片芯片是4位的运算部件,需要四片采用一定方式组成16位运算器。运算的数据,运算结果在脱机实验时通过发光二极管显示;连机实验时通过上位机的屏幕显示。 方案二:利用两片74LS181以并、串形式构成8位字长的ALU。数据开关用来给出参与运算的数据,运算结果经过数据线,通过显示灯显示。 方案三:利用虚拟实验软件进行上述一种运算器的设计及运行。 2、目的及要求 掌握运算器的组成、原理及数据传送通路;验证运算功能。(详见实
  3. 所属分类:嵌入式

    • 发布日期:2008-12-13
    • 文件大小:136192
    • 提供者:a_71232455
  1. 计算机组成实验指导书

  2. 我们学校的实验指导书,内容很详细 实验一 MACH器件及教学机监控程序实验 实验二 脱机运算器实验 实验三 教学机汇编语言程序设计实验 实验四 内存储器部件实验 实验五 微程序控制器部件实验 实验六 硬布线控制器部件实验 实验七 串行接口输入输出实验 实验八 多级嵌套的中断实验
  3. 所属分类:硬件开发

    • 发布日期:2009-01-14
    • 文件大小:612352
    • 提供者:superant20
  1. 基于EDA的计算机组成原理实验

  2. 这是基于EDA的计算机组成原理实验,用max-plusII做的,层层深入,包括总线传输实验、数据通路实验、存储器设计、运算器设计、控制电路设计、还有一个总体模型机设计的maxplus原理图,装了maxplus就可以直接用了!
  3. 所属分类:专业指导

    • 发布日期:2009-02-21
    • 文件大小:3145728
    • 提供者:fxk2006
  1. 计算机组成原理实验一 报告

  2. 一、算术逻辑运算器 二、进位控制运算器 三、移位运算器
  3. 所属分类:专业指导

    • 发布日期:2009-04-12
    • 文件大小:38912
    • 提供者:peachyy
  1. 计算机组成原理-补充实验

  2. 根据表1-1-1 运算器逻辑功能表中S3-S0的16种组合方式,给定任意两个操作数A和B(或按照表1-1-2给出的数据):观察并记录操作结果以及FC,FZ标志位的置位情况(填写到表1-1-2)。 ALU_B,LDA,LDB的有效电位是什么?作用? 三态控制门245的作用是什么? T1-T4脉冲信号由谁提供,作用是什么? 什么是半加器?什么是全加器? 什么是串行加法器?为什么效率不高? 并行加法器是依据什么原理设计的? 并行加法器逻辑表达式中p和g的作用是什么? 图1-2-2中模块A和模块B之间
  3. 所属分类:专业指导

    • 发布日期:2018-03-24
    • 文件大小:130048
    • 提供者:qq_35434235
  1. 运算器部件

  2. 脱机运算器实验,是指让运算器从教学计算机整机中脱离出来,此时,它的全部控制与操作均需通过两个12位的微型开关来完成,这就谈不上执行指令,只能通过开关、按键控制教学机的运算器完成指定的运算功能,并通过指示灯观察运算结果。
  3. 所属分类:专业指导

    • 发布日期:2018-06-22
    • 文件大小:24576
    • 提供者:qq_39564650
  1. 中国大学mooc华中科技大学计算机组成原理运算器设计(HUST)alu.circ

  2. 最近闲来无事就在中国大学mooc上看了看华中科技大学的计算机组成原理,做了一些实验,大家如果有什么问题可以参考一下,尽量都要自己动做一做哦嘻嘻
  3. 所属分类:互联网

    • 发布日期:2020-05-17
    • 文件大小:550912
    • 提供者:qq_47873531
  1. 华中科技大学计算机组成原理 运算器设计实验(HUST) (educoder)完成文件

  2. 代码包含: 8位可控加减法电路设计 CLA182四位先行进位电路设计 4/16/32位快速加法器设计 5位无符号阵列乘法器设计 6位有符号补码阵列乘法器 乘法流水线设计 原码一位乘法器设计 补码一位乘法器设计 MIPS运算器设计
  3. 所属分类:软件测试

    • 发布日期:2020-05-17
    • 文件大小:47104
    • 提供者:first_zhangwei
  1. 运算器设计实验(计算机组成原理)

  2. Logisim运算器实验文件,包含8位可控加减法器、32位快速加法器、6位补码阵列乘法器、原码一位乘法器、补码一位乘法器、算术逻辑运算单元ALU
  3. 所属分类:其它

    • 发布日期:2020-05-17
    • 文件大小:736256
    • 提供者:canwu1212
  1. 华中科技大学计算机组成原理ALU实验(Logisim)

  2. 该文件包含Educode上ALU实验的大部分关卡,均可通关。全部连接方法可参照我的第一篇博客。实验重点为运算器原理的考察,而并非线路和器件连接方式,但是在连接线路是要注意引脚的说明,避免浪费不必要的时间。
  3. 所属分类:Dell

    • 发布日期:2020-05-16
    • 文件大小:472064
    • 提供者:weixin_44529208
  1. 华中科技大学计算机组成原理实验谭志虎版的运算器设计的电路图

  2. 这是我个人写的华中科技大学计算机组成原理实验谭志虎版的运算器设计的电路图连接。这是在logism软件中连接的。
  3. 所属分类:其它

    • 发布日期:2020-05-14
    • 文件大小:545792
    • 提供者:m0_46200304
  1. 计算机组成-运算器实验.zip

  2. 这是计算机组成原理的课程实验,使用Xilinx Vivado进行编写,需要下载到FPGA开发板上运行,实验要求如下: 1.基本ALU运算器功能实现+、-、&、|、~; 2.扩展功能比较运算<; 3.扩展功能有符号加法; 4.输出状态判断是否为0、是否进位、是否溢出。 附上当时的实验报告以及代码。
  3. 所属分类:硬件开发

    • 发布日期:2020-04-11
    • 文件大小:4194304
    • 提供者:fuliag1014
  1. 计算机组成原理实验.rar

  2. 一.实验目的 1. 理解算术逻辑单元ALU的工作原理。 2. 掌握算术逻辑单元ALU的设计方法。 3. 验证32位算术逻辑单元ALU的加、减、与、移位功能。 4. 按给定数据,完成几种指定的算术和逻辑运算。 二.实验内容 算术逻辑单元ALU的设计如图1-1所示。其中运算器addsub32能实现32位的加减运算。参加运算的两个32位数据分别为A[31..0]和B[31..0],运算模式由aluc[3..0]的16种组合决定,而aluc[3..0]的值由4位2进制计数器LPM_COUNTER产生,计
  3. 所属分类:其它

    • 发布日期:2020-04-09
    • 文件大小:2097152
    • 提供者:qq_35685675
« 1 2 3 4 56 7 8 »