您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 基于AT89C51单片机的LED彩灯控制器设计

  2. 本文提出了一种基于AT89C51单片机的彩灯控制方案,实现对LED彩灯的控制。
  3. 所属分类:C

    • 发布日期:2009-07-10
    • 文件大小:328704
    • 提供者:prolearning
  1. 电子技术基础彩灯控制器

  2. 自己设计的彩灯控制器 有10个LED 由控制器控制彩灯亮灭
  3. 所属分类:专业指导

    • 发布日期:2009-07-11
    • 文件大小:451584
    • 提供者:lx365208555
  1. 彩灯循环数字电子技术课程设计

  2. 彩灯循环数字电子技术课程设计 彩灯循环 数电 课程设计,完整的课程设计方案
  3. 所属分类:专业指导

    • 发布日期:2009-07-12
    • 文件大小:396288
    • 提供者:seasonzk
  1. 多路彩灯控制器8路移存型彩灯控制器

  2. 多路彩灯控制器设计一个8路移存型彩灯控制器,要求: 1. 彩灯实现快慢两种节拍的变换; 2. 8路彩灯能演示三种花型(花型自拟); 3. 彩灯用发光二极管LED模拟;
  3. 所属分类:专业指导

    • 发布日期:2009-07-13
    • 文件大小:410624
    • 提供者:coffin2
  1. 数字电路课程设计_彩灯

  2. 两个周的结果,控制八路彩灯显示三种花型,循环显示。
  3. 所属分类:专业指导

    • 发布日期:2009-07-17
    • 文件大小:358400
    • 提供者:lixiaofenghappy
  1. 彩灯设计 数字逻辑课程设计

  2. 彩灯设计 数字逻辑课程设计 说明 电路 设计思路
  3. 所属分类:专业指导

    • 发布日期:2009-07-28
    • 文件大小:154624
    • 提供者:lixiaobo88
  1. AT89C2051单片机做节日彩灯控制电路程序

  2. AT89C2051单片机做节日彩灯控制电路程序
  3. 所属分类:硬件开发

    • 发布日期:2009-08-26
    • 文件大小:6144
    • 提供者:nwpusmartcai
  1. 8路彩灯控制器(VHDL)

  2. 通过编译仿真,测试成功 整个系统共有三个输入信号CLK,RST,SelMode,八个输出信号控制八个彩灯。时钟信号CLK脉冲由系统的晶振产生。各种不同花样彩灯的变换由SelMode控制. 四种花样分别为:    (1)彩灯从左到右逐次闪亮。然后从右到左逐次熄灭。    (2)彩灯两边同时亮两个,然后逐次向中间点亮。    (3)彩灯从左到右两个两个点亮,然后从右到左两个两个逐次点亮。    (4)彩灯中间两个点亮。然后同时向两边散开。
  3. 所属分类:嵌入式

    • 发布日期:2009-09-10
    • 文件大小:363520
    • 提供者:CATdream
  1. VHDL四样彩灯控制器

  2. 通过编译,测试成功,没有软件的可用记事本打开 整个系统共有三个输入信号CLK,RST,SelMode,八个输出信号控制八个彩灯。时钟信号CLK脉冲由系统的晶振产生。各种不同花样彩灯的变换由SelMode控制. 四种花样分别为:    (1)彩灯从左到右逐次闪亮。然后从右到左逐次熄灭。    (2)彩灯两边同时亮两个,然后逐次向中间点亮。    (3)彩灯从左到右两个两个点亮,然后从右到左两个两个逐次点亮。    (4)彩灯中间两个点亮。然后同时向两边散开。
  3. 所属分类:专业指导

    • 发布日期:2009-09-10
    • 文件大小:3072
    • 提供者:CATdream
  1. 循环彩灯控制器循环彩灯控制器循环彩灯控制器

  2. 课程设计来的,不过有点缺陷循环彩灯控制器循环彩灯控制器循环彩灯控制器
  3. 所属分类:其它

    • 发布日期:2009-09-15
    • 文件大小:187392
    • 提供者:l573826134
  1. 圣诞彩灯,LED渐亮渐灭,KEY按键

  2. 圣诞彩灯,8位单片机通过软件产生PWM控制LED的渐亮与渐灭
  3. 所属分类:硬件开发

    • 发布日期:2009-09-23
    • 文件大小:195584
    • 提供者:huzhongniao
  1. 数字逻辑课程设计--彩灯循环显示电路(报告与源程序)

  2. 数字逻辑课程设计之“彩灯循环显示电路”,里面包含报告与源程序等。
  3. 所属分类:专业指导

    • 发布日期:2009-10-12
    • 文件大小:290816
    • 提供者:lps120
  1. 16路循环彩灯设计报告

  2. 花型1: 16路彩灯同时亮灭,亮、灭节拍交替进行。 花型2:16路彩灯每次8路灯亮,8路灯灭,且亮、灭相间,交替亮灭。 花型3:16路彩灯先从左至右逐路点亮,到全亮后再从右至左逐路熄灭,循环演示。 花型4:16路彩灯分成左、右8路,左8路从左至右逐路点亮、右8路从右至左逐路点
  3. 所属分类:专业指导

    • 发布日期:2009-10-19
    • 文件大小:434176
    • 提供者:beastlove
  1. CD4066与CD4069组成的二维图形变幻彩灯控制器的电路分析与制作

  2. CD4066与CD4069组成的二维图形变幻彩灯控制器的电路分析与制作
  3. 所属分类:专业指导

    • 发布日期:2009-10-24
    • 文件大小:179200
    • 提供者:tomassand
  1. 课程设计彩灯循环控制器的电路图

  2. 设计要求包括: 1. 10路彩灯分别用10个发光二极管模拟,编号依次为0,1,…9。10个数码管依次显示 数字0、1、2….9,1、3、….9,0、2、4….8,不断循环,相应的10路彩灯能够自动循环点亮,每个数字显示时间相等; 2. 该控制电路应有启动、停止和复位按钮。按下复位按钮,自动清零显示数字“0”;按下启动按钮,彩灯按上述规律变化。按下停止按钮,彩灯停止显示。
  3. 所属分类:其它

    • 发布日期:2009-10-31
    • 文件大小:81920
    • 提供者:chenguangleeee
  1. 彩灯控制电路大全彩灯的亮度彩灯电路方面的电路啊

  2. 大家可以来看看关于5G169 是一种亮度可缓变的四路彩灯 串控制专用集成电路。该器件采用全数字控 制方式, 由调整可控硅的导通角来实现彩灯 亮度的连续变化,使彩灯的亮度彩灯电路方面的电路啊
  3. 所属分类:专业指导

  1. 8路彩灯控制系统 数字电路课程设计

  2. 该控制系统具有以下一些功能: 1 彩灯自左向右依次点亮 2 彩灯自左向右依次熄灭 3 彩灯自右向左依次点亮 4 彩灯自左向右依次熄灭 5 彩灯全亮 6 彩灯全灭
  3. 所属分类:专业指导

  1. 八路彩灯循环电路 课程设计报告

  2. 由光实现控制的八路彩灯循环控制电路,彩灯由发光二极管模拟代替。该电路在有光照的条件下,没有电源输入,555震荡电路不工作,电路也没有输出,彩灯不会亮;当没有光照的条件,555开始工作,计数器开始计数,译码器有输出,彩灯自然会循环亮。
  3. 所属分类:专业指导

    • 发布日期:2009-11-26
    • 文件大小:805888
    • 提供者:zgrwei
  1. CD4017流水彩灯控制器

  2. 节日期间, 有的单位要在建筑物上悬挂彩灯。若能自制彩灯闪亮控制器, 不仅花费少得多, 而且闪光速度、彩灯路数均可自行确定
  3. 所属分类:专业指导

    • 发布日期:2009-11-28
    • 文件大小:184320
    • 提供者:zgrwei
  1. 多路彩灯控制器的设计报告

  2. 1.自动控制多路彩灯按预设的花型进行变换; 2.花型种类不少于三种,花型自拟; 3.分别用快慢两种节拍实现花型变换。
  3. 所属分类:专业指导

    • 发布日期:2009-11-28
    • 文件大小:105472
    • 提供者:zgrwei
« 1 23 4 5 6 7 8 9 10 ... 47 »