您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 基于CPLD的MIDI音乐播放器的设计.kdh

  2. 基于CPLD的MIDI本音乐播放器依据MIDI音乐基本原理,结合EDA技术,采用ALTERA公司的可编程逻辑器件(CPLD)EPF10LC84-4作 为控制核心而设计的。本文主要阐述了利用VHDL语言设计MIDI音乐发生器芯片,再配上必要的外围电路,从而实现四首 音乐选择播放、并配有随音乐节奏而闪烁变化的彩灯等功能的EDA应用系统。 音乐播放器的设计,
  3. 所属分类:嵌入式

    • 发布日期:2009-05-20
    • 文件大小:66560
    • 提供者:yimilai88
  1. Proteus教程:电子线路设计、制版与仿真 (book完整版)

  2. Proteus教程——电子线路设计.制版与仿真 目录 第1章 Proteus快速入门 1.1 Proteus整体功能预览 1.1.1 集成化的电路虚拟仿真软件—— Proteus 1.1.2 Proteus VSM仿真与分析 1.1.3 Proteus ARES的应用预览功能 1.2 Proteus跟我做 1.2.1 Proteus软件的安装与运行 1.2.2 一阶动态电路的设计与仿真 1.2.3 异步四位二进制计数器的设计及仿真 1.2.4 89C51与8255接口电路的调试及仿真 第2章
  3. 所属分类:嵌入式

    • 发布日期:2010-02-01
    • 文件大小:12582912
    • 提供者:fyyy4030
  1. Proteus教程 电子线路设计、制版与仿真

  2. Proteus教程——电子线路设计、制版与仿真 第1章 Proteus快速入门 1.1 Proteus整体功能预览 1.1.1 集成化的电路虚拟仿真软件—— Proteus 1.1.2 Proteus VSM仿真与分析 1.1.3 Proteus ARES的应用预览功能 1.2 Proteus跟我做 1.2.1 Proteus软件的安装与运行 1.2.2 一阶动态电路的设计与仿真 1.2.3 异步四位二进制计数器的设计及仿真 1.2.4 89C51与8255接口电路的调试及仿真 第2章 Pro
  3. 所属分类:嵌入式

    • 发布日期:2010-04-24
    • 文件大小:12582912
    • 提供者:zry2009
  1. AT89C51单片机程序以及原理图

  2. 单片机学习资料 板载资源可以完成的实验项目: 1、发光二极管亮灭 2、发光二极管闪烁 3、发光二极管模拟广告流水灯(跑马灯) 4、蜂鸣器输出的音频报警器。 5、PWM 调节发光二极管亮度。 6、独立按键控制发光二极管亮灭。 7、实用独立按键控制开关灯(带延时去抖动) 8、单键多功能灯控器。 9、继电器输出控制 0 220V,5A 负载。 10、数码管静态显示 11、数码管动态扫描显示记分器。 12、数字钟 13、0 300kHz 频率计 14、0 100kHz 数字信号发生器 15、实时时钟课
  3. 所属分类:专业指导

    • 发布日期:2011-03-30
    • 文件大小:4194304
    • 提供者:nengrenzhiguo
  1. 单片机串行口与PC机通讯课程设计论文

  2. 我有很多毕业论文,课程设计哦 51单片机大容量数据存储器的系统扩展.doc AT89C51单片机在无线数据的应用.doc DPJshiyan(ZhangSheng).wmv LCD点阵字符显示屏应用设计.doc LED彩灯控制器设计.doc LED显示的电压表电路设计.doc 八路扫描式抢答器设计.doc 报时定时控制系统.doc 采用实时时钟芯片DS1302+AT89C2051的红外遥控LED电子钟.doc 单片机串行口与PC机通讯.doc 单片机串行通信发射机.doc 单片机和计算机的串行
  3. 所属分类:嵌入式

    • 发布日期:2012-12-08
    • 文件大小:570368
    • 提供者:cds1999
  1. Proteus教程:电子线路设计、制版与仿真

  2. Proteus教程——电子线路设计.制版与仿真 目录 第1章 Proteus快速入门 1.1 Proteus整体功能预览 1.1.1 集成化的电路虚拟仿真软件—— Proteus 1.1.2 Proteus VSM仿真与分析 1.1.3 Proteus ARES的应用预览功能 1.2 Proteus跟我做 1.2.1 Proteus软件的安装与运行 1.2.2 一阶动态电路的设计与仿真 1.2.3 异步四位二进制计数器的设计及仿真 1.2.4 89C51与8255接口电路的调试及仿真 第2章
  3. 所属分类:其它

    • 发布日期:2013-08-11
    • 文件大小:12582912
    • 提供者:luckylucky999
  1. proteus7仿真

  2. 1、一只LED灯的点亮;2、控制一只LED灯的闪烁;3、LED灯的开关控制;4、两位LED数码管显示器的设计;5、1kHz报警信号的产生;6、航空障碍灯控制器的设计;7、60米跑计时器的设计;8、方波占空比测量仪的设计;9、点对点串行通信系统的设计;10、倒计时静态显示电路的设计;11、数字钟动态显示电路的设计;12、数字钟独立式键盘系统的设计;13、倒计时控制器行列式键盘系统的设计;14、低频三角波信号发生器的设计;15、简易数字电压表的设计;16、彩灯控制器硬件电路及驱动程序的设计;
  3. 所属分类:C/C++

    • 发布日期:2013-11-08
    • 文件大小:49283072
    • 提供者:hhlzwl
  1. 30个单片机试验及实践教程

  2. 温度监控系统设计报告.rar 基于单片机的DTMF远程通讯.rar 智能温度计.rar 智能速度里程表的设计.rar 语音数字联网火灾报警器设计.rar 51单片机大容量数据存储器的系统扩展.rar 电动自行车遥控检测装置.rar 单片机和计算机的串行通信.rar 家庭防盗报警系统.rar 单片机控制短信收发.rar (电话报警器系统设计.rar LED显示的电压表电路设计 .rar 改善单片机系统用电效率的微控制器.rar 多功能出租车计价器设计.rar 秒表时钟计时器的设计.rar LCD
  3. 所属分类:其它

    • 发布日期:2014-01-06
    • 文件大小:4194304
    • 提供者:vanir
  1. 流水灯数电的

  2. 关于数电课程设计彩灯控制器有着非常广泛的运用,如:LED彩灯,音乐彩灯控制器,二维彩灯控制器等等。随着人们生活环境的不断改善和美化,在许多场合可以看到彩色霓虹灯。LED彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用 彩灯来装饰街道和城市建筑物已经成为一种时尚。但目前市场上各式样的LED彩灯控制器大多数用全硬件电路实现,电路结构复杂、功能单一,这样一旦制作成品只能按照固定的模式闪亮,不能根据不同场合、不同时间段的需要来调节亮灯时间、模式、闪烁频率等动态 参数。优易L
  3. 所属分类:专业指导

    • 发布日期:2014-04-04
    • 文件大小:156672
    • 提供者:u013179743
  1. 基于AT89S52单片机的多功能音乐播放器

  2. 整个硬件电路是由中心控制、播放、选曲、显示、电子琴 和彩灯等模块组成。
  3. 所属分类:C++

    • 发布日期:2014-06-07
    • 文件大小:297984
    • 提供者:sinat_15964413
  1. 多路彩灯显示系统电路控制原理

  2. 数电课程设计风斗爱上看见对方看见的快速减肥 啊看见方可都是靠大家 但分开了就看见 黄金客户 看空间就家看了就开了家看看会看见好久
  3. 所属分类:专业指导

    • 发布日期:2008-12-25
    • 文件大小:144384
    • 提供者:kfh408356940
  1. chengxu.asm花样流水灯14个花样

  2. 花样流水灯14个花样,共阴极点亮,用机器周期延时。随着人们生活环境的不断改善和美化,在许多场合可以看到彩色霓虹灯不断变化闪烁。LED灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用彩灯来装饰街道和城市建筑物已经成为一种时尚。但目前市场上各式样的LED灯控制器大多数用全硬件电路实现,电路结构复杂、功能单一,这样一旦制作成品只能按照固定的模式闪亮,不能根据不同场合、不同时间段的需要来调节亮灯时间、模式、闪烁频率等动态参数。这种彩灯控制器结构往往有芯片过多、电路复杂、功率损
  3. 所属分类:嵌入式

    • 发布日期:2019-06-20
    • 文件大小:3072
    • 提供者:weixin_44748462
  1. 8086微机原理课程设计

  2. 基于8086的微机原理课程设计,本课程设计资源为密码锁的汇编代码。 还有其他源码、报告、电路设计图 1、7*7矩阵 2、电子表/钟 3、电子秒表 4、电子琴 5、音乐彩灯 8、抢答器 9、洗衣机 10、乒乓球 11、温度控制/报警器 12、秒表 13、交通灯 14、直流电机控制 15、多设备状态监控 16、七段数码管显示电子钟 17、闪烁灯 18、电子秒表 19、跑马灯 20、函数发生器 21、环境温度采集系统 直接添加QQ//:3098024513
  3. 所属分类:其它

    • 发布日期:2020-01-05
    • 文件大小:2048
    • 提供者:x_uhen
  1. 元器件应用中的基于555定时器构成的多谐振荡器的应用

  2. 摘要:555定时器是一款非常实用的电气元件,通过外加外围元件可组合成各种功能的电路。本文介绍由555定时器构成的多谐振荡器的应用。   1.引言   繁华的都市,当夜幕降临时,五缤纷的彩灯灯便亮了起来,点亮这个黑暗的世界,给人民生活增添一点情趣,而流水灯是其中的角色之一。随着技术的不断发展,控制彩灯的电路不断更新,这里主要介绍由555定时器构成的流水灯控制电路。   2.555定时器   2.1 555定时器的内部结构(如图1所示):      (1)分压器   ①5脚悬空时。
  3. 所属分类:其它

    • 发布日期:2020-10-20
    • 文件大小:204800
    • 提供者:weixin_38649356
  1. EDA/PLD中的EDA中的多路彩类控制器的系统设计方案

  2. 根据系统设计要求可知,整个系统共有三个输入信号:控制彩灯节奏快慢的基准时钟信号CLK_IN,系统清零信号CLR,彩灯节奏快慢选择开关CHOSE_KEY;共有16个输出信号LED[150],分别用于控制十六路彩灯。   据此,我们可将整个彩灯控制器CDKZQ分为两大部分:时序控制电路SXKZ和显示控制电路XSKZ,整个系统的组成原理图如图所示。   如图 彩灯控制器组成原理图   系统的工作原理如下:时序控制电路SXKZ根据输入信号CLK_IN,CLR,CHOSE_KEY产生符合一定要求
  3. 所属分类:其它

    • 发布日期:2020-11-16
    • 文件大小:60416
    • 提供者:weixin_38688745
  1. 基础电子中的EDA中的系统扩展思路的介绍

  2. (1)在彩灯的快慢节奏的控制上,若去掉快慢节奏控制开关,如何控制快慢节奏的交替变化。   (2)设计外围电路:系统用方波信号源、直流工作电源、彩灯控制的驱动电路。   (3)若为课程设计,除要求设计调试程序、外围电路外,还可要求设计、制作整个系统,包括PCB的制作。    来源:ks99
  3. 所属分类:其它

    • 发布日期:2020-11-16
    • 文件大小:21504
    • 提供者:weixin_38635449
  1. 基础电子中的EDA中的综合计时系统的系统扩展思路介绍

  2. (1)对于系统的各种控制时钟信号,可通过分频电路对一个给定的合适频率信号进行分频来产生。   (2)设计系统工作的外围电路:系统用方波信号源、直流工作电源、彩灯控制的驱动电路。   (3)若为毕业设计,除要求设计调试程序、外围电路外,还可要求设计制作整个系统,包括PCB的制作。    来源:ks99
  3. 所属分类:其它

    • 发布日期:2020-11-15
    • 文件大小:22528
    • 提供者:weixin_38624975
  1. EDA中的多路彩类控制器的系统设计方案

  2. 根据系统设计要求可知,整个系统共有三个输入信号:控制彩灯节奏快慢的基准时钟信号CLK_IN,系统清零信号CLR,彩灯节奏快慢选择开关CHOSE_KEY;共有16个输出信号LED[150],分别用于控制十六路彩灯。   据此,我们可将整个彩灯控制器CDKZQ分为两大部分:时序控制电路SXKZ和显示控制电路XSKZ,整个系统的组成原理图如图所示。   如图 彩灯控制器组成原理图   系统的工作原理如下:时序控制电路SXKZ根据输入信号CLK_IN,CLR,CHOSE_KEY产生符合一定要求
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:71680
    • 提供者:weixin_38586200
  1. 基于单片机的LED彩灯控制器

  2. 1  引言   随着人们生活环境的不断改善和美化,在许多场合可以看到彩色霓虹灯。 LED 彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用彩灯来装饰已经成为一种时尚。但目前市场上各式样的 LED 彩灯控制器大多数用全硬件电路实现,电路结构复杂、功能单一,这样一旦制作成品只能按照固定的模式闪亮,不能根据不同场合、不同时间段的需要来调节亮灯时间、模式、闪烁频率等动态参数。这种彩灯控制器结构往往有芯片过多、电路复杂、功率损耗大等缺点。此外从功能效果上看,亮灯模式少而且样式
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:331776
    • 提供者:weixin_38610657
  1. 基于单片机的彩灯小制作

  2. 1.引言   随着人们对房屋的装饰需要彩灯,在许多城市可以看到彩色霓虹灯。LED彩灯由于其丰富的灯光色彩,便宜的造价以及控制简单等特点而得到了广泛的应用,用彩灯来装饰街道和城市建筑物已经成为一种时尚。但目前市场上各式样的LED彩灯控制器大多数用全硬件电路实现,电路结构复杂、电路复杂、功率损耗大等缺点。此外从功能效果上看,亮灯模式少而且样式单调,缺乏用户可操作性。   气候暖化危机和能源紧缺在进一步推动LED照明的普及,LED照明具有环保、光效高和寿命长的特点,但在完全取代具有墙上型调光器的白
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:195584
    • 提供者:weixin_38516380
« 1 2 ... 5 6 7 8 9 1011 »