您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. IIC总线协议IIC总线协议IIC总线协议

  2. IIC总线协议IIC总线协议IIC总线协议
  3. 所属分类:C

    • 发布日期:2011-06-30
    • 文件大小:98304
    • 提供者:ZH346723239
  1. 单总线驱动电路讲解 内附电路图

  2. 单总线驱动,增强单总线传输距离,例如使用单总线的数字温度传感器或者湿度传感器时,可以在单总线上挂接更多的传感器以及使单总线距离更长。
  3. 所属分类:硬件开发

  1. servicemix\JBI的ServiceMix服务总线.pdf

  2. servicemix\JBI的ServiceMix服务总线
  3. 所属分类:Java

    • 发布日期:2011-07-01
    • 文件大小:706560
    • 提供者:luoshizhao
  1. 基于CAN总线的电梯远程监控系统

  2. 简单介绍了基于CAN总线的电梯远程监控系统,是把CAN总线用于监控的新途径,只做学习参考交流用,不做他用,后果自负!
  3. 所属分类:网络监控

    • 发布日期:2011-07-03
    • 文件大小:447488
    • 提供者:yu30152698
  1. CAN总线 源代码及注释

  2. CAN总线是一种流行的现场总线。广泛应用于汽车,消费,家电等领域,CAN总线具有高效,实时等特点。本源代码提供的CAN总线源程序旨在指导初学者学习CAN总线的一般编程,实现简单的节点通信 硬件平台是飞思卡尔S12
  3. 所属分类:硬件开发

    • 发布日期:2011-07-04
    • 文件大小:201728
    • 提供者:darroncy
  1. CAN总线综述controlnet devicenet

  2. CAN总线综述,controlnet,devicenet,Can
  3. 所属分类:系统集成

    • 发布日期:2011-07-06
    • 文件大小:257024
    • 提供者:mddong
  1. STM32F2技术培训 存储器和总线架构

  2. STM32F2技术培训 存储器和总线架构
  3. 所属分类:嵌入式

    • 发布日期:2011-07-06
    • 文件大小:1048576
    • 提供者:wuguoyana
  1. I2C总线规范[中文版]

  2. 介绍I2C总线的详细教程,并附带应用实例。
  3. 所属分类:C

    • 发布日期:2011-07-06
    • 文件大小:3145728
    • 提供者:lzo99
  1. 总线数据监控工具bushound

  2. 用于PC机各种总线数据包监视和控制的开发工具软件。 Bus Hound是一个超级软件总线协议分析器,用于捕捉来自设备的协议包和输入输出操作,其优良特性如下: 支持所有版本的IDE,SCSI,USB,1394总线 支持各类设备如硬盘库,DVD,鼠标,扫描仪,网络照相机等 捕捉数据的总量仅受机器内存限制 可以设置触发信号自动停止捕获操作 测试读取,同步等设备性能 捕获设备驱动包,例如IRP 捕获任意数量的并行设备,而不管其总线类型 身材苗条,易于交换与下载 捕获的数据易于拷贝到其它环境生成Html
  3. 所属分类:C++

    • 发布日期:2011-07-07
    • 文件大小:608256
    • 提供者:blj830203
  1. IEEE 1394总线规范

  2. 本规范IEEE Standard for high performance serial bus。比USB性能略胜一筹的总线。
  3. 所属分类:嵌入式

    • 发布日期:2011-07-07
    • 文件大小:4194304
    • 提供者:zz0814
  1. CAN总线ISO11783

  2. 农用车CAN总线协议 ISO 11783
  3. 所属分类:电信

    • 发布日期:2011-07-07
    • 文件大小:7340032
    • 提供者:lilian_lilith
  1. PCI Local Bus总线规范和pci总线入门规范

  2. pci总线规范2.3英文版; pci入门资料,写得很简单易懂,不过是英文版的。
  3. 所属分类:硬件开发

    • 发布日期:2011-07-11
    • 文件大小:4194304
    • 提供者:dreamfrom2011
  1. 计算机总线介绍---详解

  2. 计算机总线介绍---详解 计算机总线介绍---详解 计算机总线介绍---详解 计算机总线介绍---详解 计算机总线介绍---详解
  3. 所属分类:其它

    • 发布日期:2011-07-12
    • 文件大小:31744
    • 提供者:mysql2100
  1. NCS3000现场总线控制系统

  2. 介绍了由中科院开发的NCS3000现场总线控制系统使用,以及其软硬件组成。
  3. 所属分类:网络设备

    • 发布日期:2011-07-12
    • 文件大小:665600
    • 提供者:yin_fei6681168
  1. I2C总线原理及应用实例.doc

  2. 1 I2C总线特点 I2C总线最主要的优点是其简单性和有效性。由于接口直接在组件之上,因此I2C总线占用的空间非常小,减少了电路板的空间和芯片管脚的数量,降低了互联成本。总线的长度可高达25英尺,并且能够以10Kbps的最大传输速率支持40个组件。I2C总线的另一个优点是,它支持多主控(multimastering), 其中任何能够进行发送和接收的设备都可以成为主总线。一个主控能够控制信号的传输和时钟频率。当然,在任何时间点上只能有一个主控。
  3. 所属分类:硬件开发

    • 发布日期:2011-07-14
    • 文件大小:74752
    • 提供者:bank_29
  1. LABVIEW控制HT1302CAN总线通讯版的简单程序

  2. 通过LABVIEW调用动态链接库,实现对HT1302CAN总线接口卡的简单控制,实现开启、复位、接受、发送和关闭等简单功能。
  3. 所属分类:其它

    • 发布日期:2011-07-14
    • 文件大小:43008
    • 提供者:liutianyu8907
  1. 汽车总线设计及测试宝典

  2. 一、 汽车总线技术的发展 二、 汽车总线技术的特征 三、 汽车总线的研究重点及关键技术 四、 汽车总线技术的应用意义及国内发展现状 五、 各类汽车总线的特点比较 六、 CAN总线设计详解 七、 汽车CAN/LIN总线测试流程和测试工具 八、 汽车总线设计及测试经典问答43例
  3. 所属分类:硬件开发

    • 发布日期:2011-07-14
    • 文件大小:1048576
    • 提供者:iskyland
  1. I2C总线规范,中文版

  2. I2C总线规范,中文版,技术规范很全,嵌入式开发者的首选
  3. 所属分类:嵌入式

    • 发布日期:2011-07-16
    • 文件大小:864256
    • 提供者:EnriqueChen
  1. 用Profibus Dp总线控制ABB变频器的方法.pdf

  2. 用Profibus Dp总线控制ABB变频器的方法.pdf
  3. 所属分类:制造

    • 发布日期:2011-07-16
    • 文件大小:179200
    • 提供者:qilong123456
  1. can 总线协议 入门

  2. 对can总线协议进行分析和说明,通过这篇文章可以对can协议有一个更全面的认识。
  3. 所属分类:互联网

    • 发布日期:2011-07-19
    • 文件大小:3145728
    • 提供者:sqhxhg
« 1 2 ... 40 41 42 43 44 4546 47 48 49 50 »