您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 局域网抢答软件V1.0

  2. 1.本软件可以运行于普通PC机,使之成为软件抢答器。以代替传统的专用硬件抢答器。省去了用户购买硬件抢答器的昂贵费用。 2.本软件界面美观,通过红、绿、黄三种颜色表示客户端的三种状态。并且能实时显示每个参赛队抢答所用时间。抢答获胜的参赛队将显示在下面的“抢答结果”位置,同时还有声音提示。 3.客户端的数量可由用户自行设置,默认情况下最多为10台。如果用户在实际使用中还不够,可以联系作者增加。 4.在服务器端点击“抢答开始”后,客户端才能发送抢答,避免了选手犯规的可能。 5.客户端发送抢答,只需按
  3. 所属分类:专业指导

    • 发布日期:2009-04-29
    • 文件大小:1048576
    • 提供者:abcdef8571
  1. 单片机设计的抢答器程序

  2. 单片机设计的抢答器程序 含详细的注释及LED显示驱动程序
  3. 所属分类:硬件开发

    • 发布日期:2009-05-02
    • 文件大小:2048
    • 提供者:tanhaijun2007
  1. 用c#做的一个纯软件抢答器

  2. 采用usb键盘作为抢答工具…… 4个usb键盘插到usb hub上,利用usb延长线延长 每个键盘指定一个按键作为抢答按键(默认是1234) 抢答器的原理是记录第一个按键 下了的兄弟给点分吧
  3. 所属分类:C#

    • 发布日期:2009-05-03
    • 文件大小:1048576
    • 提供者:cxlyx
  1. 单片机6路智能抢答器

  2. 单片机做的6路智能抢答器,有图和代码。用单片机的定时器/计数器定时和记数的原理,将软、硬件有机地结合起来,使得系统能够正确地进行计时,同时使数码管能够正确地显示时间。用开关做键盘输出,扬声器发生提示。
  3. 所属分类:硬件开发

    • 发布日期:2009-05-06
    • 文件大小:271360
    • 提供者:gmgmhao
  1. 数字抢答器的设计(包括源程序)

  2. 这是我做的关于数字抢答器的全部设计过程,有论文,还包括源代码
  3. 所属分类:专业指导

    • 发布日期:2009-05-07
    • 文件大小:453632
    • 提供者:qiushuyan0515
  1. 基于51八路抢答器硬件图

  2. 设计要求:1) 能容许2-8组进行抢答。2) 能显示抢答组号。3) 各组记分,并能记分显示。4) 比赛结束时,能发出报警声。 硬件系统设计 1)控制系统主要由单片机应用电路、存储器接口电路、显示接口电路组成。其中单片机AT89C51是系统工作的核心,它主要负责控制各个部分协调工作. 2)硬件组成及所需元件:该系统的核心器件是AT89C51。在其外围接上复位电路、上拉电阻、数码管、按钮及扬声器。元件为:晶振X1、电容C1、C2、C3、 电阻RP1。P3.0和P3.1由裁判控制,分别是抢答停止和开
  3. 所属分类:C

    • 发布日期:2009-05-07
    • 文件大小:17408
    • 提供者:iceangel000
  1. 基于FPGA的抢答器设计

  2. 智力竞赛抢答计时器的设计 一、 课题说明在许多比赛活动中,为了准确、公正、直观地判断出第一抢答者,通常设置一台抢答器,通过数显、灯光及音响等多种手段指示出第一抢答者。同时,还可以设置计时、计分、犯规奖惩计录等多种功能。 二、 设计要求 1、设计一个4组参加的智力竞赛抢答计时器。每组设置一个抢答按钮供抢答者使用。 2、电路具有第一抢答信号的鉴别和锁存功能。在主持人将系统复位并发出抢答指令后,当有某一组参赛者首先按下抢答开关时,数码管显示相应组别并伴有声响。此时,电路应具备自锁功能,使别组的抢答开
  3. 所属分类:硬件开发

    • 发布日期:2009-05-11
    • 文件大小:235520
    • 提供者:yinmy123456
  1. 数字抢答器大学本科毕业论文

  2. 数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。经过布线、焊接、调试等工作后数字抢答器成形。
  3. 所属分类:专业指导

  1. 16路智力竞赛抢答器设计

  2. 介绍了一种自行设计的1 6 路数字抢答器。该电路由抢答电路、定时电路、报警控制电路和时序控 制电路四部分组成。具有设计思路简单、功能齐全, 易于实现, 成本低廉等优点。
  3. 所属分类:专业指导

    • 发布日期:2009-05-12
    • 文件大小:147456
    • 提供者:wo0103zs
  1. 基于组播技术的网络抢答系统设计

  2. 组播技术是一种同时向网络上多个节点发送相同数据的网络传输技术,它能够有效地提高网络资源的利用率, 特别适用于开展灵活的网络教学. 本文结合实际教学工作,设计了一个基于组播技术的网络抢答系统,该系统能够有效 地激发学生的学习积极性.
  3. 所属分类:网络基础

    • 发布日期:2009-05-12
    • 文件大小:236544
    • 提供者:zhangrong054
  1. 数电课程设计——四路智能抢答器

  2. 数电课程设计——四路智能抢答器的实验报告。。。。
  3. 所属分类:专业指导

    • 发布日期:2009-05-13
    • 文件大小:227328
    • 提供者:lgqbbsgood
  1. 微机原理课程设计 八路抢答器

  2. 本抢答器的电路主要有四部分组成:抢答电路、中断控制电路、报警电路以及定时电路。其中抢答电路部分由一个译码器和LED数码管显示器组成,它可以将八位抢答者的按钮通过译码驱动LED数码显示管显示出他们最先抢答者的编号。而中断控制电路的功能是当参数选手按抢答器时,使扬声器发声,这时抢答电路和定时电路停止工作。而且设定的时间到后若无人抢答,则报警电路工作。报警电路是当设定的时间到达后或者有人抢答时,报警电路被输入一个高电平,这时报警电路开始工作。
  3. 所属分类:专业指导

    • 发布日期:2009-05-17
    • 文件大小:413696
    • 提供者:yqf1213
  1. 多功能数字抢答器(数字电路)

  2. 本文介绍了一种用74系列常用集成电路设计的数码显示八路抢答器的电路组成、设计思路及功能。数字抢答器由优先编码电路、锁存器
  3. 所属分类:专业指导

    • 发布日期:2009-05-17
    • 文件大小:925696
    • 提供者:lengyue5201
  1. 八路抢答器程序,带有报警功能,用大数码管显示

  2. 八路抢答器程序,大数码管显示,可靠性强,灵敏度高,带有抢答报警和LED抢答显示功能。
  3. 所属分类:专业指导

    • 发布日期:2009-05-17
    • 文件大小:1867
    • 提供者:LIWEILIWEIL
  1. 8路智能定时抢答器、、、、、、、、、、、、、、、、、、、、、

  2. 抢答器同时供8名选手或8个代表队比赛,分别用8个按钮S0 ~ S7表示。2. 设置一个系统清除和抢答控制开关S,该开关由主持人控制。3. 抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED数码管上显示,同时扬声器发出报警声响提示。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。4. 抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如30秒)。当主持人启动"开始"键后,定时器进行减计时,同时扬声器发出短暂的声响,声响持续的时间0.5秒左右。5. 参
  3. 所属分类:专业指导

    • 发布日期:2009-05-18
    • 文件大小:695296
    • 提供者:ha21s
  1. 用maxplus ii实现的四人智能抢答计时器

  2. 设计功能: 1.设计一个四人参加的智力竞赛抢答计时器。在主持人发出抢答信号后,以参赛者首先按下抢答开关时,显示其相应的组别并伴有声响,此时抢答器不再接受其他的输入信号。 2.电路具有回答问题时间控制功能。我设置的倒计时是48秒,你可以自己修改。 3.具有记分功能。初始状态时,主持人给4人设置初始分值。抢答完毕后,有主持人打分,答对一次加一分,答错减一分,减至0为止。 ......
  3. 所属分类:专业指导

    • 发布日期:2009-05-18
    • 文件大小:236544
    • 提供者:joe1209
  1. 一种简易实用的抢答电路.pdf

  2. 本文介绍的是一种简单实用的抢答器电路。它由按钮开关,继电器和彩色灯泡组成。它具有电路元件少,故障率工作可靠等特点。
  3. 所属分类:专业指导

    • 发布日期:2009-05-20
    • 文件大小:100352
    • 提供者:soarsky713
  1. 多功能抢答器数字电路

  2. 可以进行定时的抢答器,不仅可以8人抢答,而且可以有主持人控制
  3. 所属分类:专业指导

    • 发布日期:2009-05-23
    • 文件大小:104448
    • 提供者:yjr13580334769
  1. 可定时的八路数显抢答器

  2. 实验要求 1.掌握可定时的八路数显抢答器的工作原理及其设计方法; 2.进一步熟悉74系列常用中规模集成芯片的应用,提高对硬件电路的分析能力; 3.进一步熟悉QartusII软件的使用; 4.学习较复杂的数字系统文本输入(VHDL、Veril HDL)和原理图输入的设计方法
  3. 所属分类:嵌入式

    • 发布日期:2009-05-23
    • 文件大小:76800
    • 提供者:qxstone
  1. 四路 数字抢答器

  2. 抢答器 四路 数字抢答器四路 数字抢答器
  3. 所属分类:专业指导

    • 发布日期:2009-05-23
    • 文件大小:594944
    • 提供者:sdonkey
« 12 3 4 5 6 7 8 9 10 ... 50 »