您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. EWB数字时钟(数字钟课程设计ewb)

  2. 数字时钟(数字钟课程设计ewb) 用ewb设计数字钟
  3. 所属分类:专业指导

    • 发布日期:2009-05-20
    • 文件大小:205824
    • 提供者:professor12345
  1. 80c51 led显示数字时钟c语言程序

  2. 80c51 led显示数字时钟c语言程序 这是我自己编的程序,他可以实现键盘控制时钟
  3. 所属分类:C

    • 发布日期:2009-05-22
    • 文件大小:2048
    • 提供者:lingling_y
  1. 数字时钟串口程序 8051单片机 c语言程序

  2. 数字时钟串口程序 8051单片机 c语言程序 可以实现时钟的串口传送。
  3. 所属分类:C

    • 发布日期:2009-05-22
    • 文件大小:2048
    • 提供者:lingling_y
  1. 数字频率合成dds正弦波,基于FPGA的DDS产生的正弦波信号VHDL程序

  2. 数字频率合成dds正弦波,基于FPGA的DDS产生的正弦波信号程序,VHDL程序.拿去就能用,系统时钟50M,产生100K的正弦波信号,频率控制字你可以自己改
  3. 所属分类:硬件开发

    • 发布日期:2009-05-22
    • 文件大小:211931
    • 提供者:qgl220
  1. 基于51单片机的多功能数字时钟

  2. 基于51单片机的多功能数字时钟可完成年月日时分秒的数据显示,可整点报时。
  3. 所属分类:硬件开发

    • 发布日期:2009-05-25
    • 文件大小:6144
    • 提供者:xieyinhong
  1. 数字锁相环设计源程序

  2. 数字锁相环设计源程序, PLL是数字锁相环设计源程序, 其中, Fi是输入频率(接收数据), Fo(Q5)是本地输出频率. 目的是从输入数据中提取时钟信号(Q5), 其频率与数据速率一致, 时钟上升沿锁定在数据的上升和下降沿上; 顶层文件是PLL.GDF
  3. 所属分类:专业指导

    • 发布日期:2009-06-06
    • 文件大小:120832
    • 提供者:class125good
  1. 74290调时调分数字时钟

  2. 用6片74290做的数字钟 能调时和调分钟 是打包后的线路图 线路比较简单
  3. 所属分类:专业指导

    • 发布日期:2009-06-10
    • 文件大小:32768
    • 提供者:myhuama
  1. 基于eda数字时钟设计

  2. 基于EDA的数字时钟设计,很好的源代码,已经经过调试了,可以直接运行。
  3. 所属分类:专业指导

    • 发布日期:2009-06-14
    • 文件大小:181248
    • 提供者:qxqx88
  1. 数字电子钟实验报告附电路图

  2. 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。
  3. 所属分类:专业指导

    • 发布日期:2009-06-15
    • 文件大小:2097152
    • 提供者:yjgogrgw
  1. 单片机 数字时钟 实现三按键的控制

  2. 完整版本设计论文介绍了用AT89C2051单片机控制的数字钟的硬件结构与软件设计,给出了汇编语言源程序。此数字钟是一个将“时”、“分”、“秒”显示于人的视觉器官的计时装置。它的计时周期为12小时,显示满刻度为12时59分59秒99毫秒,另外应有校时功能。电路由时钟脉冲发生器、时钟计数器、译码驱动电路和数字显示电路以及时间调整电路组成。用晶体振荡器产生时间标准信号,这里采用石英晶体振荡器。根据60秒为1分、60分为1小时、24小时为1天的计数周期,分别组成两个60进制(秒、分)、一个12进制(时
  3. 所属分类:硬件开发

    • 发布日期:2009-06-18
    • 文件大小:136192
    • 提供者:lllg99
  1. 单片机 数字时钟设计 程序

  2. (单片机 数字时钟设计 程序) 与 ( 单片机 数字时钟设计 图)配套
  3. 所属分类:硬件开发

    • 发布日期:2009-06-19
    • 文件大小:2048
    • 提供者:rufengjichi
  1. 简单数字时钟 EDA设计

  2. 实现简单的数字时钟 有时序图之类~~并带有总结,是一个很好的资源
  3. 所属分类:专业指导

    • 发布日期:2009-06-21
    • 文件大小:266240
    • 提供者:tianxiang123
  1. 数字电子技术课程设计报告

  2. 数字钟的设计与制作,数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 抄袭!!可直接使用,
  3. 所属分类:专业指导

    • 发布日期:2009-06-22
    • 文件大小:2097152
    • 提供者:paopao364609622
  1. 用51单片机实现数字时钟

  2. 毕业设计 用51单片机实现数字时钟功能
  3. 所属分类:硬件开发

    • 发布日期:2009-06-22
    • 文件大小:453632
    • 提供者:noamtfwsy
  1. 数字电子钟课程设计报告

  2. 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 因此,我们此次设计与制做数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于数字钟包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法.
  3. 所属分类:专业指导

    • 发布日期:2009-06-27
    • 文件大小:2097152
    • 提供者:angel59218
  1. 数字电子钟(课程设计)

  2. 数字电子钟是一块独立构成的时钟集成电路专用芯片,它集成了计数器,比较器,振荡器,译码器和驱动等电路,能直接驱动显示时、分、秒,具有定时,整点报时等功能,。。。。
  3. 所属分类:嵌入式

    • 发布日期:2009-06-30
    • 文件大小:129024
    • 提供者:ruanhuibao
  1. 数字逻辑实验报告---数字钟

  2. 本系统作为一个数字钟系统,具有显示时、分、秒,校时和整点报时的功能;对于校时功能,可以对小时,分和秒单独校时,输入方式为手动输入;对于整点报时的功能,时钟在整点时开始报时,报时一分钟后停止。
  3. 所属分类:专业指导

    • 发布日期:2009-07-07
    • 文件大小:154624
    • 提供者:yolandayi
  1. 单片机数字时钟编程实例

  2. 数字时钟单片机编程实例 数字时钟单片机编程实例 数字时钟单片机编程实例数字时钟单片机编程实例 数字时钟单片机编程实例 数字时钟单片机编程实例数字时钟单片机编程实例 数字时钟单片机编程实例 数字时钟单片机编程实例数字时钟单片机编程实例 数字时钟单片机编程实例 数字时钟单片机编程实例
  3. 所属分类:硬件开发

    • 发布日期:2009-07-07
    • 文件大小:121856
    • 提供者:lijiayou
  1. 数字电子技术课程设计报告-数字时钟与闹钟

  2. 数字电子技术课程设计报告-数字闹钟 ①由晶振电路产生1HZ标准秒信号; ②分、秒为00~59六十进制计数器; ③时为00~23二十四进制计数器; ④周显示从1~日为七进制计数器; ⑤具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; ⑥整点具有报时功能
  3. 所属分类:专业指导

    • 发布日期:2009-07-08
    • 文件大小:81920
    • 提供者:huangmp
  1. 电子技术课程设计——数字钟的设计与制作

  2. 课程设计题目:数字钟的设计与制作 (一)设计指标: 1.显示时、分、秒。采用24小时制。 2.制作、调试出一个具有直流电源、简易信号源及用来计“时”“分”“秒”的数字钟系统。并按照直流电源、简易信号源、及“秒”、“分”进位和“时”循环进位是否正常给予不同记分。 3.具有校时功能,可以对小时和分单独校时,对分校时的时候,停止分向小时进位。校时时钟源可以手动输入或借用电路中的时钟。 (二)具体要求: 1.设计方案的论证和选择 (1)、方案提出 *查阅资料确定数字钟的电路框图。 * 提出两种以上数字
  3. 所属分类:嵌入式

    • 发布日期:2009-07-08
    • 文件大小:2097152
    • 提供者:yemao7758
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 50 »