您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 数字逻辑数字时钟原理图

  2. 题目九.数字时钟 设计一个能显示日期、小时、分钟、秒的数字电子钟,并具有整点报时的功能。 由晶振电路产生1HZ标准的信号。分、秒为六十进制计数器,时为二十四进制计数器。 可手动校正时、分时间和日期值。
  3. 所属分类:嵌入式

    • 发布日期:2017-09-12
    • 文件大小:18432
    • 提供者:yan_less
  1. 数字时钟(数字逻辑)

  2. 数字逻辑课程设计-数字时钟 课程设计的三个简单要求 1、设计一个能显示日期、小时、分钟、秒的数字电子钟,并具有整点报时的功能。 2、由电路产生1HZ标准的信号。分、秒为六十进制计数器,时为二十四进制计数器。 3、可手动校正时、分时间和日期值。 这次课程设计使用到的软件为Proteus 8 Professional
  3. 所属分类:专业指导

    • 发布日期:2017-10-21
    • 文件大小:193536
    • 提供者:abc15926321716
  1. 秒、分、时数字电子钟 六十进制秒、分计数器,二十四进制(或十二进制)计时计数器

  2. 数字电子钟是一种用数字显示秒、分、时、日的计时装置,与传统的机械钟相比,它具有走时准确,显示直观、无机械传动装置等优点,因而得到了广泛的应用。小到人们日常生活中的电子手表,大到车站、码头、机场等公共场所的大型数显电子钟。数字电子钟由以下几部分组成:秒脉冲发生器;校时电路;六十进制秒、分计数器,二十四进制(或十二进制)计时计数器;秒、分、时的译码显示部分等。 本人经过仿真实践,是个可行的方法。
  3. 所属分类:嵌入式

    • 发布日期:2008-12-09
    • 文件大小:155648
    • 提供者:qq_27127385
  1. 基于AT89S51单片机电子钟电路图

  2. 基于AT89S51单片机数字钟的设计,通过多功能数字钟的设计思路,详细叙述了系统硬件、软件的具体实现过程。论文重点阐述了数字钟硬件中MCU模块、语音模块、时钟模块和相关控制模块等的模块化设计与制作;软件同样采用模块化的设计,包括中断模块、闹钟模块、语音模块、时间调整模块设计,并采用简单流通性强的C语言编写实现。本设计实现了时间与闹钟的修改功能、语音播报功能、年、月、日和星期的显示功能。
  3. 所属分类:硬件开发

    • 发布日期:2008-12-20
    • 文件大小:54272
    • 提供者:huanyingabc1
  1. 数字电子钟设计(数字电路)

  2. 高精度计时仪器多数采用石英晶体振荡器,由于电子表采用了石英技术,因而具有走时准确,稳定性好,使用方便且不需要经常校时等有点。数字电子表是采用发光二极管(LED)直接显示时、分、秒,具有直观性。除此之外还具有正点报时、按作息时间自动报时等功能,所以得到广泛的应用。
  3. 所属分类:专业指导

    • 发布日期:2008-12-26
    • 文件大小:271360
    • 提供者:ayuxin
  1. 数字钟报告 doc 留作毕业设计吧

  2. 数字电子钟课程设计报告 设计技术参数 1.时制式为24小时制。 2.采用LED数码管显示时、分,秒采用数字显示。 3....的时钟脉冲
  3. 所属分类:专业指导

    • 发布日期:2008-12-29
    • 文件大小:402432
    • 提供者:qqyagebu
  1. 基于VHDL数字电子钟的设计与实现论文

  2. 本课程设计完成了数字电子钟的设计,数字电子钟是一种用数字显示秒、分、时的计时装置,由于数字集成电路技术的发展和采用了先进的石英技术,它使数字钟具有走时准确、性能稳定、携带方便等优点。数字钟已成为人们日常生活中必不可少的必需品,广泛用于个人家庭以及办公室等公共场所,给人们的生活带来极大的方便。在这里我们将已学过的比较零散的数字电路的知识有机的、系统的联系起来用于实际,来培养我们的综合分析和设计电路的能力。
  3. 所属分类:专业指导

    • 发布日期:2009-01-07
    • 文件大小:980992
    • 提供者:kazraelk
  1. 十二小时数字电子钟设计

  2.  时间以12小时为一个周期;  显示时、分、秒;  有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间;  计时过程具有报时功能,当时间到达整点进行蜂鸣报时;  为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号。
  3. 所属分类:专业指导

    • 发布日期:2009-03-01
    • 文件大小:2097152
    • 提供者:qq1933037976
  1. 基于单片机的数字电子钟

  2. 基于单片机的数字电子钟 可以定时,调时间,切换显示 电路图与程序都有。快下载吧……
  3. 所属分类:硬件开发

    • 发布日期:2009-03-14
    • 文件大小:88064
    • 提供者:gx303841541
  1. 数字逻辑课程设计数字时钟

  2. 1.设计一个能显示日期、小时、分钟、秒的数字电子钟,并具有整点报时的功能。 2.由晶振电路产生1HZ标准的信号。分、秒为六十进制计数器,时为二十四进制计数器。 3.可手动校正时、分时间和日期值。
  3. 所属分类:硬件开发

    • 发布日期:2018-03-15
    • 文件大小:176128
    • 提供者:qq_38235017
  1. 数字电子钟

  2. 一. 设计内容: 1、 准确计时,以数字形式显示时、分、秒的时间; 2、 小时计时要求“24翻1”,分和秒的计时为60进制。 3、 可手动较正:能进行时、分、秒的时间校正,只要将开关置于手动位置,可对时、分、秒进行手动脉冲输入调整或连续脉冲输入的校正。 4、 整点报时:整点报时电路要求在每个整点前鸣叫5次低音(500HZ),整点时再鸣叫1次高音(1000HZ)。 5、 其他功能(任选) 二、设计要求: 1、思路清晰,给出整体设计框图和总电路图; 2、单元电路设计,给出具体设计思路和电路; 3、
  3. 所属分类:硬件开发

    • 发布日期:2018-03-30
    • 文件大小:138240
    • 提供者:weixin_41815104
  1. 单片机课程设计数字时钟

  2. 单片机课程设计数字时钟,含源程序,电路图,电子钟具有“时”、“分”显示的电子钟(23小时59分),通过小时个位的小数点闪烁进行秒计数显示,具有分钟和小时的校时功能。 可进行功能扩展(比如增加整点报时功能:60分钟开始LED灯闪烁1分钟或蜂鸣器响10秒钟)。
  3. 所属分类:硬件开发

    • 发布日期:2018-01-28
    • 文件大小:104448
    • 提供者:yzchen226
  1. 数字电子钟verilog课程设计

  2. (1)设计一个能自动计时的电子钟,利用数码管分别显示当前的小时,分钟, 秒。 (2)可以通过按键对当前小时、分钟进行调整设置。 (3)在调节当前时间的模式下,短按可实现加法,当长按调节分钟的按键两 秒以上时可实现快速连加(每秒四次加一)。 (4)具备闹钟功能,可通过按键设定闹钟时间,当当前时间到达闹钟设定时 间时,LED 按照预设花型闪烁,并播放闹铃音乐。 (5)具备整点报时功能,当当前时间为整点时,LED 组闪烁,并播放整点 报时音乐。 (6)具备秒表功能,启动秒表功能时,通过 switch
  3. 所属分类:嵌入式

    • 发布日期:2018-09-29
    • 文件大小:15360
    • 提供者:qq_35857421
  1. 基于Multisim 12.0的数字时钟高级版

  2. 基于Multisim 12.0的数字时钟,555定时器产生脉冲用计数器CC4518进行分频 功能: 1、数字电子钟以一昼夜24小时为一个计数周期。 2、具有“时”、“分”、“秒”数字显示。 3、具有校时功能,分别进行时、分、秒的校正 比初级版多了功能三
  3. 所属分类:电信

    • 发布日期:2019-01-10
    • 文件大小:445440
    • 提供者:qq_41522951
  1. FPGA电子钟.rar

  2. 这是一个FPGA数字时钟程序,包含LCD和按键,开关等等资源,并且附有设计报告。 使用举例如下: L13 置高电平,系统清零; L13 置低电平,系统开始计时; 经过一小时一分钟三十秒后,LCD 显示:01:01:30; 此时如果 N17 小时调整置高电平,按下 V4 加一键, LCD 显示:02:01:30; 按下 K17 减一键,LCD 显示:00:01:30。 此时如果 H18 分钟调整置高电平,按下 V4 加一键, LCD 显示:01:02:30; 按下 K17 减一键,LCD 显示:0
  3. 所属分类:硬件开发

    • 发布日期:2020-05-19
    • 文件大小:3145728
    • 提供者:weixin_41565087
  1. FPGA课程设计任务书-数字电子钟设计 .doc

  2. EDA技术在电子系统设计领域越来越普及,本设计主要利用VHDL和C语言在FPGA实验板上设计一个电子数字钟,它的计时周期为24小时,显示满刻度为23时59分59秒、。总的程序由几个各具不同功能的单元模块程序拼接而成,其中包括分频程序模块、时分秒计数模块、处理器及外设模块,并且使用QuartusII运用VHDL语言对分频和计数两个模块进行硬件电路设计和电路波形仿真,运用sopc技术嵌入内核并创建了系统所需的外部设备FLASH和SRAM软件通过使用NiosII运用C语言进行编程然后下载到硬件电路中,
  3. 所属分类:专业指导

    • 发布日期:2020-05-17
    • 文件大小:1048576
    • 提供者:shaxiaoziii
  1. 基于verilog 语言的数字电子钟设计

  2. 基于verilog 语言的数字电子钟设计,数码管实时显示时、分、秒的数字时钟(24小时显示模式);可以调节小时,分钟;能够进行24小时和12小时的显示切换;可以设置任意时刻闹钟,并且有开关闹钟功能;有整点报时功能,几点钟LED灯闪亮几下。
  3. 所属分类:硬件开发

    • 发布日期:2020-04-16
    • 文件大小:3145728
    • 提供者:weixin_44453612
  1. 数字钟课程设计(完整原理图).doc

  2. 数字逻辑之数字时钟课程设计 设计要求 1、设计一个能显示日期、小时、分钟、秒的数字电子钟,并具有整点报时的功能。  2、可手动校正时、分时间和日期值,时间以24小时为一个周期,有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; 3、计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时; 为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号由晶振电路产生1HZ标准的信号,分、秒为六十进制计数器,时为二十四进制计数器。
  3. 所属分类:嵌入式

    • 发布日期:2020-03-11
    • 文件大小:648192
    • 提供者:qq_44699923
  1. 数字时钟 .pdsprj

  2. 数字逻辑之数字时钟课程设计 设计要求 1、设计一个能显示日期、小时、分钟、秒的数字电子钟,并具有整点报时的功能。  2、可手动校正时、分时间和日期值,时间以24小时为一个周期,有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; 3、计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时; 为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号由晶振电路产生1HZ标准的信号,分、秒为六十进制计数器,时为二十四进制计数器。
  3. 所属分类:嵌入式

    • 发布日期:2020-03-11
    • 文件大小:38912
    • 提供者:qq_44699923
  1. 数字系统实验-电子钟.docx

  2. 使用Quartus II开发、基于VHDL语言实现的电子时钟,在睿智四代AX4010板子上进行验证。实现的功能有:时分秒显示、重置、按键消抖、整点报时。 补充说明: 1.代码可能还不完善,供参考学习使用。 2.顶层连线图中部分连线是采用了“隔空连线”的方法,就是右键管脚直接绑定,这样可以使顶层图连线尽可能少,以减少线的交叉。所以需要读懂每个接口的输入输出。
  3. 所属分类:专业指导

    • 发布日期:2020-01-11
    • 文件大小:517120
    • 提供者:weixin_42455135
« 1 2 3 4 5 6 7 89 10 11 12 13 14 »