您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. veriloghdl教程

  2. 随着电子设计技术的飞速发展,专用集成电路(ASIC)和用户现场可 编程门阵列(FPGA)的复杂度越来越高。数字通信、工业自动化控制等领 域所用的数字电路及系统其复杂程度也越来越高,特别是需要设计具有实 时处理能力的信号处理专用集成电路,并把整个电子系统综合到一个芯片 上。设计并验证这样复杂的电路及系统已不再是简单的个人劳动,而需要 综合许多专家的经验和知识才能够完成。由于电路制造工艺技术进步非常 迅速,电路设计能力赶不上技术的进步。在数字逻辑设计领域,迫切需要 一种共同的工业标准来统一对数字逻
  3. 所属分类:其它

    • 发布日期:2009-05-30
    • 文件大小:1048576
    • 提供者:zhmjava
  1. 数字电路设计与VerilogHDL

  2. 数字电路设计与VerilogHDL 数字电路设计与VerilogHDL
  3. 所属分类:专业指导

    • 发布日期:2009-07-01
    • 文件大小:5242880
    • 提供者:swain168
  1. 数字电路设计与VerilogHDL-张亮

  2. 书名:数字电路设计与VerilogHDL 作者:张亮 类型:pdf 页数:326
  3. 所属分类:专业指导

    • 发布日期:2009-12-11
    • 文件大小:5242880
    • 提供者:kemi450
  1. VerilogHDL设计的要点

  2. 通过本章十个阶段的练习,一定能逐步掌握Verilog HDL 设计的 要点。我们可以先理解样板模块中每一条语句的作用,然后对样板模块进行综合前和综合后 仿真,再独立完成每一阶段规定的练习。当十个阶段的练习做完后,便可以开始设计一些简 单的逻辑电路和系统。很快我们就能过渡到设计相当复杂的数字逻辑系统。当然,复杂的数 字逻辑系统的设计和验证,不但需要系统结构的知识和经验的积累,还需要了解更多的语法 现象和掌握高级的Verilog HDL 系统任务,以及与C 语言模块接口的方法(即PLI),这些已
  3. 所属分类:嵌入式

    • 发布日期:2009-12-26
    • 文件大小:215040
    • 提供者:anny198788
  1. 数字电路设计与VerilogHDL

  2. 数字电路设计与VerilogHDL 数字电路设计与VerilogHDL
  3. 所属分类:专业指导

    • 发布日期:2010-02-04
    • 文件大小:5242880
    • 提供者:truelove2001
  1. VerilogHDL与数字电路设计.pdf

  2. Verilog 很好的学习书 极力推荐
  3. 所属分类:专业指导

    • 发布日期:2010-09-05
    • 文件大小:5242880
    • 提供者:AIDeveloper
  1. Verilog与高速数字设计

  2. 介绍如何使用Verilog语言编写高速数字逻辑
  3. 所属分类:专业指导

    • 发布日期:2010-10-28
    • 文件大小:3145728
    • 提供者:liaoda60310
  1. 复杂数字逻辑系统的VerilogHDL设计技术和方法

  2. 本书着重介绍进入20世纪90年代后才开始在美国等先进的工业国家逐步推广的用硬件描述语言(Verilog HDL)建模、仿真和综合的设计方法和技术。本书从算法和计算的基本概念出发,讲述把复杂算法逐步分解成简单的操作步骤,最后由硬线逻辑电路系统来实现该算法的技术和方法。这种硬线逻辑电路系统就是广泛应用于各种现代通信电子设备与计算机系统中的专用集成电路(ASIC)或FPGA。主要内容包括:基本概念、Verilog HDL的基本语法、不同抽象级别的Verilog HDL模型以及有限状态机和可综合风格的
  3. 所属分类:其它

    • 发布日期:2011-01-04
    • 文件大小:7340032
    • 提供者:wmwby
  1. Verilog-HDL实践与应用系统设计

  2. Verilog-HDL实践与应用系统设计本书从实用的角度介绍了硬件描述语言Verilog-HDL。通过动手实践,体验Verilog-HDL的语法结构、功能等内涵。在前五章,以简单的实例列举了Verilog-HDL的用法;在后四章,以应用系统为例详细讲解了系统设计的全过程。书中的全部例子都给出了仿真结果,其源代码都在本书所附的CD-ROM中,并均经过验证无误。 本书的前半部分特别适合于初学者,也可作为工程技术人员的参考内容。后半部分很适合工程开发和研究人员参考。本书除了介绍Verilog-HDL
  3. 所属分类:嵌入式

    • 发布日期:2011-02-22
    • 文件大小:14680064
    • 提供者:zhlyz2003
  1. EDA实践指导书——逻辑、仿真,集成电路设计

  2. 目录 集成电路设计系统环境 逻辑仿真 逻辑综合 形式化验证 电路仿真与分析 FPGA应用 数字系统设计 主要是学习在linux虚拟机中的应用。EDA的逻辑,仿真,设计思想
  3. 所属分类:嵌入式

    • 发布日期:2011-03-02
    • 文件大小:3145728
    • 提供者:sussii
  1. 乐曲硬件演奏电路设计_3

  2. 随着EDA技术的进展,基于可编程的数字电子系统设计的完整方案越来越受到人们的重视。与利用微处理器(CPU或MCU)来实现乐曲演奏相比,以纯硬件完成乐曲演奏电路的逻辑要复杂得多,如果不借助于功能强大的EDA工具和硬件描述语言,仅凭传统的数字逻辑技术,即使最简单的演奏电路也难以实现。如何使用EDA工具设计电子系统是人们普遍关心的问题。本设计重点介绍用杭州康芯电子有限公司生产的KX_7C5EE+家庭实验开发板,利用数控分频器设计硬件乐曲演奏电路(电子琴),使读者初步了解VerilogHDL硬件描述语
  3. 所属分类:硬件开发

    • 发布日期:2012-06-17
    • 文件大小:777216
    • 提供者:hunningtu_lq
  1. 设计与验证:verilog HDL

  2. 本书以实例讲解的方式对hdl语言的设计方法进行介绍。全书共分9章,第1章至第3章主要介绍了veriloghdl语言的基本概念、设计流程、语法及建模方式等内容;第4章至第6章主要讨论如何合理地使用veriloghdl语言描述高性能的可综合电路;第7章和第8章重点介绍了如何编写测试激励以及verilog的仿真原理;第9章展望hdl语言的发展趋势。
  3. 所属分类:专业指导

    • 发布日期:2012-10-01
    • 文件大小:14680064
    • 提供者:wdz201206245
  1. Verilog_HDL数字设计与综合

  2. 本书从用户的角度全面阐述了VerilogHDL语言的重要细节和基本设计方法,并详细介绍了Verilog2001版的主要改进部分。本书重点关注如何应用Verilog语言进行数字电路和系统的设计和验证,而不仅仅讲解语法。全书从基本概念讲起,并逐渐过渡到编程语言接口以及逻辑综合等高级主题。书中的内容全部符合Verilog HDL IEEE 1364-2001标准。本书适合电子、计算机、自动控制等专业的学习数字电路设计的大学本科高年级学生阅读,也适合数字系统设计工程师和已具有多年Verilog设计工作
  3. 所属分类:硬件开发

    • 发布日期:2014-06-06
    • 文件大小:12582912
    • 提供者:lion009
  1. 数字系统Verilog设计

  2. 复杂数字电路与系统的VerilogHDL设计
  3. 所属分类:其它

    • 发布日期:2015-02-27
    • 文件大小:3145728
    • 提供者:zhangxj1026
  1. 嵌入式系统/ARM技术中的浅谈Verilog HDL与VHDL及FPGA的特点

  2. Verilog HDL   Verilog HDL是一种硬件描述语言(HDL:Hardware Discr iption Language),是一种以文本形式来描述数字系统硬件的结构和行为的语言,用它可以表示逻辑电路图、逻辑表达式,还可以表示数字逻辑系统所完成的逻辑功能。   优点:类似C语言,上手容易,灵活。大小写敏感。在写激励和建模方面有优势。   缺点:很多错误在编译的时候不能被发现。Verilog HDL较自由的语法,也容易造成初学者犯一些错误,这一点要注意。   VHDL   VHDL
  3. 所属分类:其它

    • 发布日期:2020-10-22
    • 文件大小:97280
    • 提供者:weixin_38515573
  1. 基于FPGA的双模前置小数分频器的设计

  2. 频率合成技术是现代通讯系统的重要组成部分,他将一个高稳定和高准确度的基准频率,经过四则运算,产生同样稳定度和基准度的频率。分频器是集成电路中最基础也是最常用的电路。整数分频器的实现比较简单,可采用标准的计数器或可编程逻辑器件设计实现。但在某些场合下,时钟源与所需的频率不成整数倍关系,此时可采用小数分频器进行分频。本文利用VerilogHDL硬件描述语言的设计方式,通过ModelSimSE开发软件进行仿真,设计基于FPGA的双模前置小数分频器。随着超大规模集成电路的发展,利用FPGA小数分频合成技
  3. 所属分类:其它

    • 发布日期:2020-10-24
    • 文件大小:150528
    • 提供者:weixin_38731123
  1. EDA/PLD中的基于CPLD的位同步时钟提取电路设计

  2. 引言 异步串行通信是现代电子系统中最常用的数据信息传输方式之一,一般情况下,为了能够正确地对异步串行数据进行发送和接收,就必须使其接收与发送的码元同步,位同步时钟信号不仅可用来对输入码元进行检测以保证收发同步,而且在对接收的数字码元进行各种处理等过程中,也可以为系统提供一个基准的同步时钟。 本文介绍的位同步时钟的提取方案,原理简单且同步速度较快。整个系统采用VerilogHDL语言编写,并可以在CPLD上实现。 位同步时钟的提取原理 本系统由一个跳变沿捕捉模块、一个状态寄存器和一个可控
  3. 所属分类:其它

    • 发布日期:2020-12-10
    • 文件大小:105472
    • 提供者:weixin_38635682
  1. EDA/PLD中的Verilog HDL 建模概述

  2. 在数字电路设计中,数字电路可简单归纳为两种要素:线和器件。线是器件管脚之间的物理连线;器件也可简单归纳为组合逻辑器件(如与或非门等)和时序逻辑器件(如寄存器、锁存器、RAM等)。一个数字系统(硬件)就是多个器件通过一定的连线关系组合在一块的。因此,Verilog HDL 的建模实际上就是如何使用HDL语言对数字电路的两种基本要素的特性及相互之间的关系进行描述的过程。 下面通过一些实例,以便对Verilog HDL 的设计建模有个大概的印象。 3.1 模块模块(module )是Verilog 的
  3. 所属分类:其它

    • 发布日期:2020-12-09
    • 文件大小:66560
    • 提供者:weixin_38727579
  1.  音频信号数字化光纤传输实验仪信道的设计与实现

  2. 介绍音频信号数字通信实验装置设计的实现过程,该装置以FPGA为主控芯片,以光纤为通讯媒介,将音频信号数字化后通过光纤实现传输,并对电路各个模块的功能及实现加以说明。实验装置采用分模块式的设计,设计思路灵活,结构清晰。电路在Altium Designer和Protel99中设计完成,并且在QuartusⅡ环境下用VerilogHDL语言进行编程并对程序进行仿真。该装置已做成了实体,可以实现音频信号的发射与接收,达到设计提出的要求。
  3. 所属分类:其它

    • 发布日期:2021-01-30
    • 文件大小:844800
    • 提供者:weixin_38737980
  1. (Verilog HDL数字系统设计)第7章门电路设计与实现

  2. 7.1基?本?门?电?路基本门电路包括与门或门非门表7.1是二输入与门或门和非门的真值表采用VerilogHDL实现数字电路时可以采用结构化数据流和行为描述三种方式代码7.1中的basic_gate1
  3. 所属分类:其它

    • 发布日期:2021-01-21
    • 文件大小:2097152
    • 提供者:weixin_38621082
« 12 »