您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. ATmega8 驱动led数码显示管源代码

  2. ATmega8 驱动led数码显示管源代码
  3. 所属分类:硬件开发

    • 发布日期:2011-07-29
    • 文件大小:991
    • 提供者:sishenbuku
  1. 窗口下的8数码显示(C++写的,7段数码管显示)

  2. 这个是我自己写的一个8数码管的显示,可以在CWND类继承下来的窗口上显示数字,并且是7段数码类型。
  3. 所属分类:C++

    • 发布日期:2011-08-22
    • 文件大小:240640
    • 提供者:kolonse
  1. 430f169数码管显示

  2. 四位数码管显示,超实用,TI的MSP430F169控制数码管显示
  3. 所属分类:C/C++

    • 发布日期:2011-09-02
    • 文件大小:1024
    • 提供者:keruichang
  1. 单片机实验

  2. 单片机实验按键数码显示,下下来就可以直接用了
  3. 所属分类:C/C++

    • 发布日期:2011-12-01
    • 文件大小:1024
    • 提供者:danscdn
  1. 应用于STC12C5A系列单片机的数码显示时钟

  2. 数码显示时钟 应用于STC12C5A系列单片机
  3. 所属分类:C

    • 发布日期:2011-12-18
    • 文件大小:2048
    • 提供者:satrap
  1. avr7段数码管的显示与控制

  2. 本资料用protues对atmega16对7段数码管上的显示数字进行按键控制,调节
  3. 所属分类:嵌入式

    • 发布日期:2012-02-28
    • 文件大小:95232
    • 提供者:binghuo8888
  1. VC串口练习程序

  2. 师妹的练习程序。 主要包括VC中串口控件的使用,数码显示控件的使用。建议将程序解压到 C:\Documents and Settings\zhp3245\桌面\yuan Test
  3. 所属分类:C

    • 发布日期:2012-05-05
    • 文件大小:3145728
    • 提供者:wm1226
  1. 基于EDA七段数码显示译码器设计

  2. 七段字符显示器 为了能以十进制数码直观地显示数字系统的运行数据, 符显示器有七段可发光的线段拼合而成。常见的七段字符显示器有半导体数码管和液晶显示器两种。 图3-1是半导体数码管BS201A外形图,这种数码管的每个线段都是一个发光二极管(Light Emitting Diode,简称LED),因而把它叫做LED数码管或LED七段显示器。 图3-1 发光二极管使用的材料与普通的硅二极管和锗二极管不同,有磷砷化镓,磷化镓,砷化镓等几种,而且半导体中的杂质浓度高。当外加正电压时,大量的电子和空穴在扩
  3. 所属分类:硬件开发

    • 发布日期:2012-06-02
    • 文件大小:578560
    • 提供者:mfs1184396251
  1. 数码显示及通信仿真

  2. 单片机串行口实现数据的发送与接收,含有代码与proteus仿真图。
  3. 所属分类:C/C++

    • 发布日期:2012-06-11
    • 文件大小:2097152
    • 提供者:xuejianxinao
  1. 基于可编程逻辑的七段数码显示译码器的设计

  2. EDA 可编程逻辑 七段数码显示译码器
  3. 所属分类:其它

    • 发布日期:2012-09-12
    • 文件大小:252928
    • 提供者:fdxsweet
  1. 温度18b20数码显示管

  2. 基于51单片机用18b20温度传感器做的实验。数码管能够实时显示温度。每条指令都有详细注解
  3. 所属分类:硬件开发

    • 发布日期:2012-10-15
    • 文件大小:25600
    • 提供者:laoheitang
  1. EDA七段数码显示译码器

  2. EDA 实验 七段数码显示译码器代码 verilog
  3. 所属分类:专业指导

    • 发布日期:2012-11-29
    • 文件大小:898
    • 提供者:zmyyhm
  1. 基于51的18b20温度数码显示

  2. 温度传感器的用c语言编写的,通过数码管显示其读书的51代码
  3. 所属分类:C

    • 发布日期:2012-12-24
    • 文件大小:36864
    • 提供者:zjsxlzx_
  1. 51单片机BCD码数码显示汇编程序

  2. 51单片机BCD码数码显示汇编程序及仿真图PDF文件
  3. 所属分类:其它

    • 发布日期:2013-06-29
    • 文件大小:38912
    • 提供者:weicaihui2008
  1. 数字电压表,用了AD0808,四位数码显示

  2. 我的课程设计,用汇编语言编写.用了AD0808,四位数码显示
  3. 所属分类:硬件开发

    • 发布日期:2008-09-23
    • 文件大小:43008
    • 提供者:weifabo2009
  1. CH452DS1中文资料

  2. CH452DS1 中文资料 键盘设计 数码显示 CH452DS1中文资料
  3. 所属分类:硬件开发

    • 发布日期:2013-09-23
    • 文件大小:344064
    • 提供者:u011942614
  1. 7段数码显示译码器

  2. 7段数码显示译码器
  3. 所属分类:硬件开发

    • 发布日期:2014-06-21
    • 文件大小:2097152
    • 提供者:yyxcdkq
  1. Proteus万年历数码显示管仿真

  2. 基于51单片机的用Proteus仿真的万年历程序,数码显示管显示,可以显示温度,日期,时间,星期
  3. 所属分类:讲义

    • 发布日期:2014-06-26
    • 文件大小:133120
    • 提供者:sinat_15573847
  1. 七段数码显示的数字时钟

  2. 绝大多数的电子产品都使用了七段数码显示,如果软件也能模拟出这种效果该有多好?在本文之前,VC知识库在线杂志曾有两篇文章介绍过如何实现这种效果,有一篇的实现方法较为简单,但绘出的数字不够逼真,而另一篇实现的效果虽然逼真,但必须依赖位图资源,并且无法设置前景色和背景色等。笔者经过仔细的研究与试验,终于找到了较好的解决办法。本代码演示了七段数码显示的数字时钟的实现。
  3. 所属分类:C++

    • 发布日期:2008-10-23
    • 文件大小:56320
    • 提供者:jinjingquan
  1. 八位数码管原理图

  2. 共阳极八位数码管原理图 用于八位数码显示电路
  3. 所属分类:硬件开发

    • 发布日期:2014-10-13
    • 文件大小:44032
    • 提供者:w11yy
« 1 2 3 4 5 67 8 9 10 11 ... 50 »