您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 可爱的小时钟

  2. 这是一个可爱的小时钟,下面对它的功能介绍如下: 时钟:当程序运行后,它将显示当前计算机的时间, 你可以与你的时钟对一下。 计时:当按“计时”按扭后,它将从零开始计数。 秒表:这就与我们的秒表一样。
  3. 所属分类:专业指导

    • 发布日期:2003-02-28
    • 文件大小:48128
    • 提供者:chenxh
  1. 能闹铃秒表时钟 汇编程序

  2. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; ;; AT89C52时钟程序 ;; ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; ;*********************************************************************************************; ;以下程序能用于24小时计时,能作为秒表使用,能定时闹铃1分钟(也可关). ;使用方法:开机 后在00
  3. 所属分类:硬件开发

    • 发布日期:2009-06-02
    • 文件大小:32768
    • 提供者:chenruntc
  1. 单片机时钟程序多种方法

  2. 选用了多种中断方式控制时钟的秒表和时钟 包括简单,中等的程序 可实现暂停 继续等功能
  3. 所属分类:硬件开发

    • 发布日期:2009-06-03
    • 文件大小:5120
    • 提供者:suntietong
  1. VHDL语言数字钟(含秒表)设计

  2. 利用一块芯片完成除时钟源、按键、扬声器和显示器(数码管)之外的所有数字电路功能。所有数字逻辑功能都在CPLD器件上用VHDL语言实现。这样设计具有体积小、设计周期短(设计过程中即可实现时序仿真)、调试方便、故障率低、修改升级容易等特点。 本设计采用自顶向下、混合输入方式(原理图输入—顶层文件连接和VHDL语言输入—各模块程序设计)实现数字钟的设计、下载和调试。
  3. 所属分类:嵌入式

    • 发布日期:2009-06-17
    • 文件大小:187392
    • 提供者:engsl3400
  1. 基于CPLD的VHDL语言数字钟(含秒表)设计

  2. 利用一块芯片完成除时钟源、按键、扬声器和显示器(数码管)之外的所有数字电路功能。所有数字逻辑功能都在CPLD器件上用VHDL语言实现。这样设计具有体积小、设计周期短(设计过程中即可实现时序仿真)、调试方便、故障率低、修改升级容易等特点。 本设计采用自顶向下、混合输入方式(原理图输入—顶层文件连接和VHDL语言输入—各模块程序设计)实现数字钟的设计、下载和调试。
  3. 所属分类:嵌入式

    • 发布日期:2009-06-24
    • 文件大小:187392
    • 提供者:g514429816
  1. 单片机设计的电子秒表

  2. 自己设计的单片机程序。用8279显示的。 功能:显示时间,修改时间,闹钟,倒计时,定时想 灯闪烁。
  3. 所属分类:硬件开发

    • 发布日期:2009-06-25
    • 文件大小:65536
    • 提供者:kittywxy
  1. 基于51单片机的多路数据采集系统

  2. 1) 8路数据采集功能 通过调节可变电阻实现0-5V的电压输出作为8路输入信号使用,每路信号用2位LED显示采集的结果。报警:任意一路超过某一门限(可自己设定)时,发出报警(声音+灯闪烁,并通过灯指示是哪一路报警),同时停止采集。 2) 计数功能 利用计数功能键,实现每按一次按键,LED显示加1,从0-99计数。 3) 秒表功能 只用一个键控制。按下一个按键后时钟启动,从零开始计时,计时间隔0.01秒,再按一次后停止。再按一次后清零。如此循环 (4)时间显示
  3. 所属分类:硬件开发

    • 发布日期:2009-07-16
    • 文件大小:106496
    • 提供者:ztlguodong
  1. 用mfc实现钟表和秒表功能

  2. 用mfc实现钟表和秒表功能,可以通过菜单对时钟的启动和停止控制,时间通过菜单调用对话框设置。
  3. 所属分类:C++

    • 发布日期:2009-08-06
    • 文件大小:1048576
    • 提供者:wjw08041211
  1. 数字电子技术课程设计

  2. 秒表/始终计数器要求用六位LED数码显示时,分,秒,以24小时计时方式。使用按键开关可实现时分调整,秒表/时钟功能转换,省电等功能。这是我本科的课程设计,是WORD的
  3. 所属分类:专业指导

    • 发布日期:2009-08-16
    • 文件大小:562176
    • 提供者:siren20081
  1. 数字电子技术课程设计

  2. 秒表/时钟计数器要求用六位LED数码显示时,分,秒,以24小时计时方式。使用按键开关可实现时分调整,秒表/时钟功能转换,省电等功能。本科时的课程设计,是word的。上一次传错了,抱歉啊!
  3. 所属分类:专业指导

    • 发布日期:2009-08-16
    • 文件大小:293888
    • 提供者:siren20081
  1. 多功能时钟具有秒表 调时调闹功能

  2. 该程序使用C编的实现调时,调闹,调星期等功能 它也能当秒表使用。
  3. 所属分类:C

    • 发布日期:2009-11-08
    • 文件大小:83968
    • 提供者:vicky214
  1. 基于51系列单片机数字时钟报告

  2. 计算机的产生加快了人类改造世界的步伐,但是它毕竟体积大。单片机在这种情况下诞生了。截止今日,单片机应用技术飞速发展,纵观我们现在生活的各个领域,从导弹的导航装置,到飞机上各种仪表的控制,从计算机的网络通讯与数据传输,到工业自动化过程的实时控制和数据处理,以及我们生活中广泛使用的各种智能IC卡、电子宠物等,这些都离不开单片机。 单片计算机即单片微型计算机。(Single-Chip Microcomputer ),是集CPU ,RAM ,ROM ,定时,计数和多种接口于一体的微控制器。它体积小,成
  3. 所属分类:硬件开发

    • 发布日期:2009-11-16
    • 文件大小:98304
    • 提供者:weishangguang
  1. MULtisim基于74ls290的时钟+74ls160秒表

  2. 试试吧!如果不好请谅解啊!。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。
  3. 所属分类:Java

    • 发布日期:2009-12-10
    • 文件大小:167936
    • 提供者:x409402425
  1. 单片机电子时钟课程设计

  2. 电子钟设计一个简单的单片机编程设计,要求电子钟软件程序必须具备键盘扫描、数码管显示、时钟以及日历、秒表和闹钟功能。
  3. 所属分类:硬件开发

    • 发布日期:2009-12-26
    • 文件大小:285696
    • 提供者:acjacj
  1. ISM测试网页计时器

  2. 网页计时器,网页时钟,自己测试时需要一个秒表计时工具,因此才写了这个,还有点BUG!
  3. 所属分类:Java

    • 发布日期:2010-03-11
    • 文件大小:15360
    • 提供者:hnlgzml
  1. 数字式电子秒表的8279设计

  2. 用单片机构成数字式电子秒表。 1.系统功能: a.用8位LED数码管构成电子秒表,分别显示时、分、秒、毫秒。 b.通过按键,实现各种人机对话功能。 2.具体要求: a.采用AEDK5196仿真机为硬件主体,显示电路可利用仿真机上的8279芯片及已配置的数码管,显示格式要求为XX.XX.XX.XX.。 b.通过按键,实现下列人机对话功能: ①按A键,电子秒表开始计时。 ②按B键,输入时钟初值。 ③按C键,时钟清零。 ④按D键,电子秒表停止计时。 ⑤按E键,回到监控。
  3. 所属分类:嵌入式

    • 发布日期:2010-05-28
    • 文件大小:50176
    • 提供者:s1120040434
  1. 简单时钟:将精美的时钟与小部件,闹钟,秒表和计时器结合在一起,无广告-源码

  2. 简单时钟 该应用程序具有与计时相关的多种功能。 您可以在时钟上启用显示其他时区的时间,或使用简单但可自定义的时钟小部件。 可以自定义窗口小部件的文本颜色,以及背景的颜色和Alpha。 该警报包含所有期望的功能,例如日期选择,振动切换,铃声选择,暂停或添加自定义标签。 使用秒表,您可以轻松地测量更长的时间或单个圈。 您可以按圈时间对圈进行排序,它也包含按钮按下时的可选振动,即使您无法看清设备,也只是为了让您知道按钮被按下。 您可以轻松设置计时器以通知某些事件。 您既可以更改其铃声,也可以
  3. 所属分类:其它

    • 发布日期:2021-02-04
    • 文件大小:6291456
    • 提供者:weixin_42116681
  1. peaclock:用于终端的响应式和可自定义的时钟,计时器和秒表-源码

  2. peaclock:用于终端的响应式和可自定义的时钟,计时器和秒表
  3. 所属分类:其它

    • 发布日期:2021-01-30
    • 文件大小:2097152
    • 提供者:weixin_42105169
  1. 多功能数字时钟.zip

  2. 1、能进行正常的时、分、秒计时,分别用6个七段数码管动态扫描显示时、分、秒。时时-分分-秒秒 2、利用按键开关快速调整时间(校准):时、分 3、通过按键开关设定闹铃时间,到了设定时间发出闹铃提示音,提示音长度为1分钟 4、通过按键开关设定倒计时的时间,通过开关启动/暂停倒计时,倒计时为0时发出提示音,提示音长度为1分钟 5、整点报时:在59分50、52、54、56、58秒时按500Hz频率报时,在59分60秒时用1KHz的频率作最后一声整点报时 6、其他功能自由发挥:秒表、多个闹钟、多个时区、功
  3. 所属分类:嵌入式

    • 发布日期:2021-01-20
    • 文件大小:417792
    • 提供者:weixin_46831482
  1. Arduino Mutitasking:时钟+秒表+计时器-项目开发

  2. 这个项目是关于同时运行数字时钟,秒表和计时器的Arduino!
  3. 所属分类:其它

    • 发布日期:2021-03-30
    • 文件大小:190464
    • 提供者:weixin_38732912
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 19 »