您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 两种智能手机充电电路模块设计

  2. 两种智能手机充电电路模块设计。
  3. 所属分类:其它

    • 发布日期:2020-08-26
    • 文件大小:160768
    • 提供者:weixin_38612568
  1. 基于双单片机的数据通信模块设计方案

  2. 我们在对双单片机数据通信模块设计的过程中,人们为了使其数据信息传输能力得到有效的提高,人们就采用软件模拟SPI总线来对其进行处理,这样不仅使得通过系统通信结构得到了进一步的优化,还很好的满足了单片机运行的相关要求,使其通信系统的通信能力很好的提升。
  3. 所属分类:其它

    • 发布日期:2020-08-31
    • 文件大小:66560
    • 提供者:weixin_38607864
  1. 一种基于双单片机的数据通信模块设计方案

  2. SPI总线的应用,不但使得数据通信的效果得到很好的改善,还简化了整个通信系统的电流结构,使得双单片机数据通信模块设计的可靠性得到有效的提高。而且随着社会的不断发展,人们也将许多先进的科学技术应用到其中,有效的促进了我国通信行业的发展,使其通信性能得到进一步的优化。
  3. 所属分类:其它

    • 发布日期:2020-08-29
    • 文件大小:66560
    • 提供者:weixin_38594687
  1. 电子大赛---05模块设计篇.rar

  2. 电子大赛---05模块设计篇 电子大赛---05模块设计篇 电子大赛---05模块设计篇 电子大赛---05模块设计篇 电子大赛---05模块设计篇 电子大赛---05模块设计篇 电子大赛---05模块设计篇 电子大赛---05模块设计篇 电子大赛---05模块设计篇 电子大赛---05模块设计篇
  3. 所属分类:其它

    • 发布日期:2020-09-06
    • 文件大小:1029701632
    • 提供者:dllglvzhenfeng
  1. 详解Django定时任务模块设计与实践

  2. 主要介绍了详解Django定时任务模块设计与实践,文中通过示例代码介绍的非常详细,对大家的学习或者工作具有一定的参考学习价值,需要的朋友们下面随着小编来一起学习学习吧
  3. 所属分类:其它

    • 发布日期:2020-09-19
    • 文件大小:177152
    • 提供者:weixin_38595850
  1. Python企业编码生成系统之主程序模块设计详解

  2. 主要介绍了Python企业编码生成系统之主程序模块设计,包括初始化、界面与逻辑实现相关操作技巧,需要的朋友可以参考下
  3. 所属分类:其它

    • 发布日期:2020-09-18
    • 文件大小:58368
    • 提供者:weixin_38571878
  1. 系统功能模块设计文档

  2. 系统功能模块设计文档 系统功能模块设计文档 系统功能模块设计文档 系统功能模块设计文档 系统功能模块设计文档 系统功能模块设计文档 系统功能模块设计文档 系统功能模块设计文档
  3. 所属分类:其它

    • 发布日期:2020-10-02
    • 文件大小:351232
    • 提供者:alwgg
  1. 基于GJB289A总线SoC芯片的1553模块设计与实现

  2. 传统的1553模块主要采用DIP封装的协议处理器、计时器、收发器设计,PCB板重量大、功耗高、可靠性及维护性差,难以满足新型武器装备的“小、低、轻”要求。提出了一种基于自主正向的高速GJB289A总线(1~10 Mb/s)SoC芯片的1553模块设计方案,从硬件及软件设计上阐述了基于GJB289A总线SoC芯片的1553模块的设计与实现,并提出了该模块的技术优势。该模块是一款集成终端SoC芯片的GJB289A总线通信设备,实现了GJB289A总线中的BC、RT功能。该模块功耗低,性能、功能稳定可
  3. 所属分类:其它

    • 发布日期:2020-10-16
    • 文件大小:406528
    • 提供者:weixin_38744557
  1. 基于FPGA的HDMI多模式显示模块设计

  2. 通过SOPC进行视频信号处理是目前研究的热点。针对此类系统的显示模块,提出一种基于FPGA的HDMI多模式显示模块设计方案。首先对HDMI的驱动时序进行分析,设计驱动信号生成电路,然后根据配置参数,将多路视频进行多级ALPHA混合,实现了通过HDMI输出与显示多路视频,并且每路视频的位置与透明度可设置。为保证视频的实时性,对较为复杂的计算过程采用流水线设计方法提升速度。模块以Verilog HDL的形式进行编写,具有较强的通用性。
  3. 所属分类:其它

    • 发布日期:2020-10-16
    • 文件大小:315392
    • 提供者:weixin_38634037
  1. 基于龙芯3A1500的计算加速模块设计方法

  2. 为提高国产加固计算机的计算处理能力,提出基于龙芯3A1500的计算加速模块设计方法,介绍了模块设计思路;硬件方面采用国产龙芯3A1500处理器及DDR3内存,设计了3A1500+2FPGA的硬件组成模式,并介绍了电源及复位电路设计方法;软件方面搭配国产中标麒麟操作系统,设计了与模块匹配的计算加速软件。最后进行性能测试,与X86酷睿L2400进行了性能对比。结果表明,该设计可大幅提高国产龙芯计算机的计算性能,平均计算加速比达到5以上,验证了设计方法的有效性,对国产加固计算机在高性能计算方向的应用具
  3. 所属分类:其它

    • 发布日期:2020-10-16
    • 文件大小:359424
    • 提供者:weixin_38500944
  1. 基于FT2232H的USB-RS232转换模块设计

  2. USB是一种应用于PC领域的接口技术,用于规范电脑与外部设备的连接和通讯。USB接口支持设备的即插即用和热插拔功能,可连接多达127种外设。但目前还有大量外围设备和软件使用RS232串口标准,为了充分利用 USB的优势,设计USB-RS232转换器以便通过USB总线传输RS232数据。这里提出一种基于FT2-232H的USB-RS232转换模块设计方案。
  3. 所属分类:其它

    • 发布日期:2020-10-23
    • 文件大小:208896
    • 提供者:weixin_38601311
  1. DSP中的应用CPLD和DSP的人机接口模块设计

  2. 路面剪切实验机是用于测量路面结构层与路面层之间剪切力的一种试验仪器,市面已有的成熟产品,大多以单片机为控制核心器件,无法实现精确测量的目的。DSP作为新型控制器,早已有很多成熟的应用,尤其是其中的LF2407A特别适合电动机控制并能实现数据采集等功能。   介绍一种基于CPLD和TMS320LF2407A型DSP的人机接口模块应用系统,这种系统在新型路面剪切实验机上得到了较好的应用,能够很好地实现数据采集、电动机调速等目的。以CPLD为桥梁,实现快速DSP和慢速外设的接口模块设计,并给出其硬件电
  3. 所属分类:其它

    • 发布日期:2020-10-23
    • 文件大小:139264
    • 提供者:weixin_38694674
  1. 基于STM32的嵌入式语音识别模块设计

  2. 基于STM32的嵌入式语音识别模块设计,摘要:介绍了一种以ARM为核心的嵌入式语音识别模块的设计与实现。模块的核心处理单元选用ST公司的基于ARMCortex-M3内核的32位处理器STM32F103C8T6。本模块以对话管理单元为中心,通过以LD3320芯片为核心的硬件单元实
  3. 所属分类:其它

    • 发布日期:2020-10-22
    • 文件大小:304128
    • 提供者:weixin_38694674
  1. .NET 缓存模块设计实践

  2. 承接上篇对缓存的概念,框架上的理解,讲讲缓存模块设计实践过程,感兴趣的小伙伴们可以参考一下
  3. 所属分类:其它

    • 发布日期:2020-10-21
    • 文件大小:68608
    • 提供者:weixin_38717843
  1. 电源技术中的多路输出直流稳压电源模块设计方案

  2. 摘 要:为了满足某测试设备对多种直流电源的需求,提出了一种能够输出多种直流电压的AC/DC 电源模块设计方案。该电源模块包含滤波整流电路、DC/DC 电路、过欠压保护电路和光耦隔离电路等,介绍了部分电路的工作原理和实现方法,并对电源模块的过欠压保护功能和控制直流电压输出的方法进行了详细地介绍。试验结果表明,该模块具有输出电压稳定、精确度高、可控性好等特点。   1 引言   随着科学技术的不断发展,对设备的状态的检测要求越来越高,从而要求测试设备能够提供高精度的准确测试。要实现高精度的准确测
  3. 所属分类:其它

    • 发布日期:2020-10-21
    • 文件大小:221184
    • 提供者:weixin_38501916
  1. 电源技术中的输出多种直流电压的AC/DC电源模块设计方案

  2. 摘 要   为了满足某测试设备对多种直流电源的需求,提出了一种能够输出多种直流电压的AC/DC 电源模块设计方案。该电源模块包含滤波整流电路、DC/DC 电路、过欠压保护电路和光耦隔离电路等,介绍了部分电路的工作原理和实现方法,并对电源模块的过欠压保护功能和控制直流电压输出的方法进行了详细地介绍。试验结果表明,该模块具有输出电压稳定、精确度高、可控性好等特点。   1 引言   随着科学技术的不断发展,对设备的状态的检测要求越来越高,从而要求测试设备能够提供高精度的准确测试。要实现高精度的
  3. 所属分类:其它

    • 发布日期:2020-10-21
    • 文件大小:216064
    • 提供者:weixin_38727694
  1. 应用CPLD和DSP的人机接口模块设计

  2. 介绍一种基于CPLD和TMS320LF2407A型DSP的人机接口模块应用系统,这种系统在新型路面剪切实验机上得到了较好的应用,能够很好地实现数据采集、电动机调速等目的。以CPLD为桥梁,实现快速DSP和慢速外设的接口模块设计,并给出其硬件电路原理图。
  3. 所属分类:其它

    • 发布日期:2020-10-20
    • 文件大小:161792
    • 提供者:weixin_38744375
  1. thinkPHP5.0框架模块设计详解

  2. 主要介绍了thinkPHP5.0框架模块设计,简单讲述了thinkPHP5.0目录结构、命名规范并结合实例形式分析了模块类库的原理与访问方法,需要的朋友可以参考下
  3. 所属分类:其它

    • 发布日期:2020-10-20
    • 文件大小:61440
    • 提供者:weixin_38673921
  1. 嵌入式系统/ARM技术中的基于双单片机的数据通信模块设计方案

  2. 导读:本文通过对数据通信模块的双单片机的结构和工作原理进行简要的介绍,讨论了双单片机数据通信模块设计的相关内容,以供参考。   在信息数据传播的过程中,人们为了保障数据通信系统的工作性能,就将一些新型的通信技术应用到其专用,从而实现双单片机数据通信模块的设计,以确保信息数据传递的有效性和稳定性。其中SPI总线的应用,不但使得数据通信的效果得到很好的改善,还简化了整个通信系统的电流结构,使得双单片机数据通信模块设计的可靠性得到有效的提高。而且随着社会的不断发展,人们也将许多先进的科学技术应用到其
  3. 所属分类:其它

    • 发布日期:2020-10-20
    • 文件大小:71680
    • 提供者:weixin_38536841
  1. 基于AT91RM9200的以太网接口模块设计

  2. 主要介绍基于AT91RM9200处理器的以太网接口模块设计,给出基于网络芯片RTL8019AS的系统外围接口相关器件选型。在硬件设计的基础上,给出了详细的编程思想、工作流程以及部分关键代码。在此设计方案下,完全可以实现通过以太网进行通信,达到嵌入式模块之间实时控制的目的。
  3. 所属分类:其它

    • 发布日期:2020-10-19
    • 文件大小:490496
    • 提供者:weixin_38717031
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 50 »