您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 基于单片机《汽车信号等控制系统》

  2. 汽车信号灯控制系统 一 设计任务与要求 1、 设计并制作出汽车信号灯微机控制系统。 2、 所需执行的操作由相应的开关状态反映,所需控制的信号灯有仪表板左\右转弯灯、左右头灯和左右尾灯共六类灯,其驾驶操作与灯光信号对应如下: (1)、左\右转弯(合上左\右开关):仪表板左\右转弯灯、左\右头灯、左\右尾灯闪烁。 (2)、紧急开关合上:所有灯闪烁。 (3)、刹车(合上刹车开关):左右尾灯亮。 (4)、左\右转弯刹车:仪表板左\右转弯灯、左\右头灯、左\右尾灯闪烁 ,右\左尾灯亮。 (5)、刹车、合
  3. 所属分类:硬件开发

    • 发布日期:2009-05-26
    • 文件大小:36864
    • 提供者:l090524
  1. 汽车尾灯控制电路(EWB设计)

  2. 汽车尾灯控制电路,使尾灯能随着汽车运动状态的改变而发生亮灭变化1 汽车左转时,左灯按以下顺序变化:亮灭亮 灭亮灭…… 2 汽车右转时,右尾灯按以下顺序变化:亮灭亮灭亮灭…… 3 汽车正常前进时,尾灯全亮 4 汽车刹车时,尾灯同时按一定频率闪亮
  3. 所属分类:专业指导

  1. 数字电子设计指导书 汽车尾灯控制设计

  2. EDA设计与应用》课程综合实验是在该课程基础实验上的扩充实验,实验对象为高年级本科生。《EDA设计与应用》课程和该课程的实验安排在同一学期内,使用的是EDA综合实验室新增加的实验开发板和开发软件。但由于学时有限,不可能对该课程涉及到的所有内容都开出相应实验。为了充分利用现有设备并使学生更好地掌握EDA设计技术,提高学生应用系统的设计能力,所以在早期开出的较老的实验基础上,优化一些基础性的实验,同时结合学生所学专业课的知识点和新实验平台的资源,新增加一系列比较有特色的验证性和设计性实验。使学生在
  3. 所属分类:专业指导

    • 发布日期:2009-12-04
    • 文件大小:5242880
    • 提供者:adamxzhch
  1. EDA课程设计:基于CPLD的汽车尾灯控制系统

  2. 假设汽车尾灯两侧各有3盏指示灯,设计其控制功能如下: 1.汽车正常行驶时指示灯都不亮; 2.汽车右转弯时,右侧一盏指示灯亮; 3.汽车左转弯时,左侧一盏指示灯亮; 4.汽车刹车时,左右两侧其中一盏指示灯亮; 5.汽车夜间行驶时,左右两侧的一盏指示灯同时亮,以供照明。
  3. 所属分类:专业指导

    • 发布日期:2009-12-18
    • 文件大小:301056
    • 提供者:ysysh
  1. 基于Multisim9.0 汽车尾灯控制系统的设计

  2. 基于Multisim9.0 汽车尾灯控制系统的设计 课程设计用的~~~
  3. 所属分类:专业指导

    • 发布日期:2009-12-30
    • 文件大小:626688
    • 提供者:beyond881118
  1. 基于FPGA的汽车尾灯控制电路的设计

  2. 该汽车尾灯控制器的具体要求如下:(1)左右两侧各有3 只尾灯,用 作汽车行驶状态的方向指示标志;(2)当汽车正常向前行驶时,6 只尾灯 为全部熄灭;(3)当汽车要向左或向右转弯时,相应的3 只尾灯依次由 左至右闪亮,另一侧的3 只灯不亮。(4)紧急刹车时,6 只尾灯全部亮,闪 动频率为1HZ。由系统功能分析可以看出,控制器的设计重点在于左转 lfen、右转rten 和紧急刹车lr 等控制信号的产生。
  3. 所属分类:硬件开发

    • 发布日期:2010-03-17
    • 文件大小:445440
    • 提供者:mingyy312
  1. 基于Multisim9.0 汽车尾灯控制系统的设计

  2. 基于Multisim9.0 汽车尾灯控制系统的设计
  3. 所属分类:专业指导

    • 发布日期:2010-06-19
    • 文件大小:625664
    • 提供者:diecuo
  1. 单片机课程设计之汽车尾灯控制系统

  2. 一、 设计任务及技术要求 要求: 1实现停止时的指示灯; 2实现汽车转向时指示 3实现倒车指示 扩展功能:实现倒车的声音提示 这是我自己设计的,基本功能拓展功能都能实现!希望能对大家有用!
  3. 所属分类:硬件开发

    • 发布日期:2010-07-01
    • 文件大小:113664
    • 提供者:tianjunming2007
  1. 利用TPC-H通用微机接口实验箱设计一个汽车信号灯控制系统。

  2. 设计内容与要求:利用TPC-H通用微机接口实验箱设计一个汽车信号灯控制系统。所需执行的操作由相应的开关状态反映,所需控制的信号灯有仪表板左/右转弯灯、左右头灯和左右尾灯共六类灯,其驾驶操作与灯光信号对应关系如下: (1) 左/右转弯(合上左/右开关):仪表板左/右转弯灯、左/右头灯、左/右尾灯闪烁。 (2) 紧急开关合上:所有灯闪烁。 (3) 刹车(合上刹车开关):左右尾灯亮。 (4) 左/右转弯刹车:仪表板左/右转弯灯、左/右头灯、左/右尾灯闪烁,右/左尾灯亮。 (5) 刹车、合上紧急开关:
  3. 所属分类:专业指导

    • 发布日期:2011-02-28
    • 文件大小:1048576
    • 提供者:lfc453048573
  1. 基于DHVL汽车尾灯控制器的设计与实现

  2. 利用VHDL设计汽车尾灯控制器的各个模块,并使用EDA 工具对各模块进行仿真验证 设计采用自顶向下的设计方法、实现汽车尾灯控制系统。
  3. 所属分类:专业指导

    • 发布日期:2011-06-28
    • 文件大小:494592
    • 提供者:xyz601429929
  1. 汽车尾灯控制系统

  2. 很好的汽车尾灯控制系统是仿真的哟,还在为课程设计而烦恼吗,赶快来下吧,很给力的。
  3. 所属分类:专业指导

    • 发布日期:2012-04-01
    • 文件大小:322560
    • 提供者:kckckkc
  1. 汽车尾灯控制系统毕业设计论文开题报告

  2. 一、毕业设计(论文)的主要内容(含主要技术参数) 1.能够模仿汽车左转弯、右转弯、刹车、夜间行驶等操作; 2.需要按逻辑关系控制左转弯、右转弯、刹车、夜间行驶等信号灯; 3.灯光闪烁频率分1HZ和30HZ两种; 4.1HZ和30HZ闪烁信号由单片机内部的定时器解决; 5.系统具有一定的故障监控功能,以提高系统的可靠性。
  3. 所属分类:C/C++

  1. 微机原理课程设计 --汽车信号灯控制系统

  2. 汽车信号灯控制系统 1.设计并制作出汽车信号灯微机控制系统; 2.所需执行的操作由相应的开关状态反映,所需控制的信号灯有仪表板左/右转弯灯、左右头灯和左右尾灯共六类灯,其驾驶操作与灯光信号对应关系如下: (1)左/右转弯(合上左/右开关):仪表板左/右转弯灯、左/右头灯、左/右尾灯闪烁。 (2) 紧急开关合上:所有灯闪烁。 (3) 刹车(合上刹车开关):左右尾灯亮。 (4) 左/右转弯刹车:仪表板左/右转弯灯、左/右头灯、左/右尾灯闪烁,右/左尾灯亮。 (5) 刹车、合上紧急开关:尾灯亮、仪表
  3. 所属分类:专业指导

    • 发布日期:2017-06-16
    • 文件大小:640000
    • 提供者:tyutyansheng
  1. 信号灯控制系统--左右转的亮灭

  2. 信号灯控制系统根据要求我们选择了实验指导书上的第二个实验 汽车信号灯控制系统 1.设计并制作出汽车信号灯微机控制系统; 2.所需执行的操作由相应的开关控制,所需控制的信号灯有: 仪表板左/右转向灯、左/右头灯和左/右尾灯及左/右刹车灯共8个灯,其驾驶操作与灯光信号对应关系如下: (1)左/右转弯(合上左/右开关):仪表板左/右转弯灯、左/右头灯、左/右尾灯亮。 (2) 紧急开关合上:除左右刹车灯以外,所有灯闪烁。 (3) 停靠(合上停靠开关):左/右头灯、尾灯 闪烁。 (4) 刹车(合上刹车开
  3. 所属分类:专业指导

    • 发布日期:2009-02-22
    • 文件大小:69632
    • 提供者:tangyisos
  1. 汽车尾灯课程设计 VHDL EDA

  2. 根据计算机中状态机原理,利用VHDL设计汽车尾灯控制器的各个模块,并使用EDA 工具对各模块进行仿真验证。汽车尾灯控制器的设计分为4个模块:时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块。把各个模块整合后就形成了汽车尾灯控制器。通过输入系统时钟信号和相关的汽车控制信号,汽车尾灯将正确显示当前汽车的控制状态。
  3. 所属分类:嵌入式

    • 发布日期:2009-04-26
    • 文件大小:232448
    • 提供者:xueleizhu1219
  1. 基于单片机的汽车尾灯控制器设计

  2. 随着电子系统能够在汽车产品中的广泛应用,大大保证了控制系统的自动化,而且汽车造型日趋流线型,汽车尾灯对于汽车整体造型的完美体现有着很大作用,汽车尾灯控制系统在汽车成品中所占的比重也逐渐加大。
  3. 所属分类:其它

    • 发布日期:2020-07-31
    • 文件大小:80896
    • 提供者:weixin_38631042
  1. 基于Multisim的汽车尾灯控制系统设计

  2. 本文设计一种基于Multisim的汽车尾灯控制设计,要求实现汽车左转弯、右转弯、停止等条件下尾灯的点亮与熄灭情况。Multisim具有电脑模拟各种电路功能,其运用各种仿真器件可达到现实器件同样的功能效果。
  3. 所属分类:其它

    • 发布日期:2020-10-20
    • 文件大小:867328
    • 提供者:weixin_38689976
  1. 工业电子中的基于PROTEUS的汽车尾灯控制系统的设计

  2. 摘要:利用Proteus软件设计了一种汽车尾灯控制系统。采用555定时器产生时钟信号,用JK触发器 进行计数,译码器 74HC138进行译码,利用发光二极管显示尾灯的状态。完成了整体电路的设计。并在Proteus 软件中对电路进行仿真,汽车尾灯 在正常行驶、左拐弯、右拐弯和临时刹车等状态时能够按设计要求正常显示。最后制成成品。该系统具有结构简单,价格低廉、使用方便等特点,有一定的实际应用价值。   0 引言   随着社会的不断发展进步,汽车使用越来越多,然而随着汽车数量的急剧增加,道路安全就
  3. 所属分类:其它

    • 发布日期:2020-10-20
    • 文件大小:201728
    • 提供者:weixin_38656064
  1.  基于PROTEUS的汽车尾灯控制系统的设计

  2. 利用Proteus软件设计了一种汽车尾灯控制系统。采用555定时器产生时钟信号,用JK触发器进行计数,译码器74HC138进行译码,利用发光二极管显示尾灯的状态。完成了整体电路的设计。并在Proteus软件中对电路进行仿真,汽车尾灯在正常行驶、左拐弯、右拐弯和临时刹车等状态时能够按设计要求正常显示。最后制成成品。该系统具有结构简单,价格低廉、使用方便等特点,有一定的实际应用价值。
  3. 所属分类:其它

    • 发布日期:2021-01-30
    • 文件大小:1048576
    • 提供者:weixin_38637665
  1. 基于PROTEUS的汽车尾灯控制系统的设计

  2. 摘要:利用Proteus软件设计了一种汽车尾灯控制系统。采用555定时器产生时钟信号,用JK触发器 进行计数,译码器 74HC138进行译码,利用发光二极管显示尾灯的状态。完成了整体电路的设计。并在Proteus 软件中对电路进行仿真,汽车尾灯 在正常行驶、左拐弯、右拐弯和临时刹车等状态时能够按设计要求正常显示。制成成品。该系统具有结构简单,价格低廉、使用方便等特点,有一定的实际应用价值。   0 引言   随着社会的不断发展进步,汽车使用越来越多,然而随着汽车数量的急剧增加,道路安全就愈发
  3. 所属分类:其它

    • 发布日期:2021-01-20
    • 文件大小:270336
    • 提供者:weixin_38638799
« 12 »