您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 创龙AM570x浮点DSP C66x + ARM Cortex-A15多核处理器工业核心板最新规格书.pdf

  2. AM570x浮点DSP C66x + ARM Cortex-A15多核处理器工业核心板最新规格书
  3. 所属分类:嵌入式

    • 发布日期:2019-09-04
    • 文件大小:1048576
    • 提供者:tronlong_
  1. 浮点影视盒 v5.0.zip

  2. 浮点影视盒是一款功能强大、资源丰富的高清电影播放器,影视内容更新及时,实现与电视节目同步更新,目前已经汇聚了上万部高清电影、电视剧、动画、美女写真等,全部DVD画质音效,随点随看,时间自由掌握,具有的资源占用低、操作简捷、越多人看越流畅、完全免费等功能,支持数百家直播网络电视台,可收看NBA、足球直播赛事,最新的综艺影视节目,是您看影视装机必备工具。   软件内置快播网页播放组件,安装后即可在所有快播网站上点播;独创的网络即时流接收播放技术,支持在线文件的直接播放;支持P4P流媒体播放时的任
  3. 所属分类:其它

    • 发布日期:2019-07-08
    • 文件大小:2097152
    • 提供者:weixin_39840650
  1. Verilog利用IP核实现定点转浮点运算

  2. Verilog利用IP核实现定点转浮点运算,quartus直接调用ip,内附有modulesim仿真测试模块,testbench文件和仿真波形
  3. 所属分类:其它

    • 发布日期:2019-02-22
    • 文件大小:3145728
    • 提供者:weixin_42319283
  1. 浮点转换.vi 数据转换

  2. Labview数据转换,32bit位转换浮点数据,浮点数据转换成bit数据,数据相互之间进行转换,常见于数据通信行业进行数据处理。
  3. 所属分类:电信

    • 发布日期:2020-05-25
    • 文件大小:10240
    • 提供者:weixin_42070768
  1. 浮点厄米特矩阵特征值分解的FPGA实现.pdf

  2. 浮点厄米特矩阵特征值分解的FPGA实现.pdf
  3. 所属分类:机器学习

    • 发布日期:2020-06-05
    • 文件大小:2097152
    • 提供者:anitachiu_2
  1. STM32微控制器浮点单元演示.pdf

  2. 本应用笔记介绍了如何使用STM32Cortex®-M4和STM32Cortex®-M7微控制器中的浮点单元(FPU),并简要介绍了浮点运算。
  3. 所属分类:电信

    • 发布日期:2020-06-13
    • 文件大小:797696
    • 提供者:adu00
  1. Altera:关于FPGA集成硬核浮点DSP

  2. 本文将介绍FPGA集成硬核浮点DSP
  3. 所属分类:其它

    • 发布日期:2020-07-22
    • 文件大小:78848
    • 提供者:weixin_38752459
  1. 高速深流水线浮点加法单元的设计

  2. 在X87执行环境下,采用基于Two-Path算法的并行深度流水线优化算法,设计了一种能够实现符合IEEE-754标准的单精度、双精度和扩展双精度及整型数据且舍入模式可控的高速浮点加法器。采用并行深度流水设计,经验证,功能满足设计要求,使用TSMC 65 nm工艺库进行综合,其工作频率可达900 MHz。
  3. 所属分类:其它

    • 发布日期:2020-07-20
    • 文件大小:95232
    • 提供者:weixin_38684633
  1. FPGA之altera浮点IP核的仿真使用

  2. 近期的项 目要使用到整型数据转浮点型数据,将16位的整数转换为单精度浮点数(32bit)。Quartus II软件中也给我们免费提供了专用的浮点转换IP,因此就直接使用该IP核来进行设计。
  3. 所属分类:其它

    • 发布日期:2020-07-18
    • 文件大小:63488
    • 提供者:weixin_38500117
  1. 基于Altera浮点IP核实现浮点矩阵相乘运算的改进设计

  2. 嵌入式计算作为新一代计算系统的高效运行方式,应用于多个高性能领域,如阵列信号处理、核武器模拟、计算流体动力学等。在这些科学计算中,需要大量的浮点矩阵运算。而目前已实现的浮点矩阵运算是直接使用VHDL语言编写的浮点矩阵相乘处理单元[1],其关键技术是乘累加单元的设计,这样设计的硬件,其性能依赖于设计者的编程水平。此外,FPGA厂商也推出了一定规模的浮点矩阵运算IP核[2],虽然此IP核应用了本厂家的器件,并经过专业调试和硬件实测,性能稳定且优于手写代码,但仍可对其进行改进,以进一步提高运算速度。
  3. 所属分类:其它

    • 发布日期:2020-07-30
    • 文件大小:114688
    • 提供者:weixin_38731385
  1. 单精度浮点加法器的FPGA实现

  2. 在FPGA上实现单精度浮点加法器的设计,通过分析实数的IEEE 754表示形式和IEEE 754单精度浮点的存储格式,设计出一种适合在FPGA上实现单精度浮点加法运算的算法处理流程,依据此算法处理流程划分的各个处理模块便于流水设计的实现。所以这里所介绍的单精度浮点加法器具有很强的运算处理能力。
  3. 所属分类:其它

    • 发布日期:2020-07-27
    • 文件大小:67584
    • 提供者:weixin_38633576
  1. 用于浮点变换的增益可变成缓冲放大器(OPA676)电路图

  2. 本文给大家分享了用于浮点变换的增益可变成缓冲放大器(OPA676)电路图。
  3. 所属分类:其它

    • 发布日期:2020-07-27
    • 文件大小:27648
    • 提供者:weixin_38680492
  1. MaliT604 GPU的二维浮点矩阵运算并行优化方法

  2. 基于以三星的Exynos5250处理器为核心的Arndale Board嵌入式开发平台,对集成于处理器上的MaliT604嵌入式GPU的GPGPU(GeneralPurpose computation on GPU)技术进行研究,并对不同运算规模的浮点矩阵乘法进行并行加速优化,提供实际测试结果。
  3. 所属分类:其它

    • 发布日期:2020-07-26
    • 文件大小:108544
    • 提供者:weixin_38597300
  1. Cortex-M3内核浮点型运算的研究与实现

  2. 通过分析CortexM3内核的结构与浮点型格式,充分利用CortexM3内核中的分支预测、单周期乘法、硬件除法等众多功能强大的特性,使用Thumb2指令集实现了单精度浮点型的加、减、乘、除与比较运算,并给出了加减法运算的流程图和除法运算的源程序。
  3. 所属分类:其它

    • 发布日期:2020-07-25
    • 文件大小:70656
    • 提供者:weixin_38709100
  1. 基于FPGA的高速流水线浮点乘法器设计与实现

  2. 设计了一种支持IEEE754浮点标准的32位高速流水线结构浮点乘法器。该乘法器采用新型的基4布思算法,改进的4:2压缩结构和部分积求和电路,完成Carry Save形式的部分积压缩,再由Carry Look-ahead加法器求得乘积。时序仿真结果表明该乘法器可稳定运行在80M的频率上,并已成功运用在浮点FFT处理器中。
  3. 所属分类:其它

    • 发布日期:2020-08-07
    • 文件大小:416768
    • 提供者:weixin_38546817
  1. 高速流水线浮点加法器的FPGA实现

  2. 多数FPGA不支持浮点运算,这使FPGA在数值计算、数据分析和信号处理等方面受到了限制,由于FPGA中关于浮点数的运算只能自行设计,因此,研究浮点加法运算的FPGA实现方法很有必要。
  3. 所属分类:其它

    • 发布日期:2020-08-11
    • 文件大小:187392
    • 提供者:weixin_38504687
  1. 定点DSP C55X实现浮点相关运算

  2.  在定点DSP中进行浮点运算,要经过复杂的数据格式转化,因此用定点DSP取代浮点DSP,在降低设备成本的情况下,必定会提高研发的成本。
  3. 所属分类:其它

    • 发布日期:2020-08-11
    • 文件大小:223232
    • 提供者:weixin_38574132
  1. 在FPGA上优化实现复数浮点计算

  2. 本论文主要介绍了FPGA及其浮点性能和设计流程,以及OpenCL的使用,高性能理想的算法是CHolesky分解,要活得的合理的结果总是要求浮点数值表示,FPGA更适合解决数据规模较小的问题,因此要优化实现复数浮点数的计算。
  3. 所属分类:其它

    • 发布日期:2020-08-10
    • 文件大小:305152
    • 提供者:weixin_38727453
  1. 浮点型数据存储方式分析

  2. 笔试面试的过程中难免会遇到很多自己不清楚或者是当时不清楚的问题,关于浮点型数据的问题我认为是比较难的问题啦,如果不清楚浮点型存储问题,回答问题只有猜结果了。
  3. 所属分类:其它

    • 发布日期:2020-08-10
    • 文件大小:220160
    • 提供者:weixin_38714653
  1. DSP编程技巧之---详解浮点运算的定点编程

  2. 我们使用的处理器一般情况下,要么直接支持硬件的浮点运算,要么就只支持定点运算,此时对浮点数的处理需要通过编译器来完成。在支持硬件浮点处理的器件上,对浮点运算的编程最快捷的方法就是直接使用浮点类型。
  3. 所属分类:其它

    • 发布日期:2020-08-15
    • 文件大小:75776
    • 提供者:weixin_38548231
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 50 »