您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 20060113--ASP.NET状态管理.doc

  2. 20060113--ASP.NET状态管理.doc
  3. 所属分类:C#

    • 发布日期:2007-07-28
    • 文件大小:43008
    • 提供者:Haipen
  1. ZCS半桥式DC_DC变流器状态空间法建模及Matlab仿真分析

  2. ZCS半桥式DC_DC变流器状态空间法建模及Matlab仿真分析
  3. 所属分类:嵌入式

    • 发布日期:2009-04-27
    • 文件大小:385024
    • 提供者:tonyif
  1. 单片机键盘扫描程序状态机实现

  2. c 语言实现的单片机键盘扫描程序实现状态机,希望对需要的朋友有所帮助。
  3. 所属分类:硬件开发

    • 发布日期:2009-04-27
    • 文件大小:1024
    • 提供者:jordanbull
  1. 银行自动提款机状态图(visio绘制3个状态模型)

  2. 内含3个状态模型,自动提款机状态模型、分理处状态模型、分行状态模型,Visio绘制的vsd文件
  3. 所属分类:专业指导

    • 发布日期:2009-05-02
    • 文件大小:215040
    • 提供者:emisonlee
  1. 状态机原理的软件设计

  2. 用状态机原理进行软件设计理论原理与理论实践,以及实现的设计方法
  3. 所属分类:专业指导

    • 发布日期:2009-05-04
    • 文件大小:246784
    • 提供者:eecool
  1. 计算机硬件描述语言(eda)实验报告,包括状态机,计数器,3-8译码器,lp-rom的应用等……

  2. 计算机硬件描述语言(eda)实验报告,包括状态机,计数器,3-8译码器,lp-rom的应用等代码
  3. 所属分类:专业指导

    • 发布日期:2009-05-11
    • 文件大小:574464
    • 提供者:yanglong196
  1. 获取打印机状态Delphi

  2. 用程序获取打印机状态 网络上的一些代码都不能成功 借鉴一下,查看API函数,一般是函数的参数使用不当造成 终于成功! 含源代码: Delphi6
  3. 所属分类:Delphi

    • 发布日期:2009-05-15
    • 文件大小:183296
    • 提供者:xxjohn
  1. vhdl试验(全加器、频率计、计数器、优先编码器、触发器、状态机、数据选择器、数码管扫描)

  2. vhdl试验(全加器、频率计、计数器、优先编码器、触发器、状态机、数据选择器、数码管扫描)
  3. 所属分类:专业指导

    • 发布日期:2009-05-18
    • 文件大小:889856
    • 提供者:mbstorm
  1. 非线性状态误差反馈控制律──NLSEF.pdf

  2. 一种新型误差反馈误差反馈控制律,跟踪微分器很好的估计出不确定对象的扩张状态
  3. 所属分类:专业指导

    • 发布日期:2009-05-18
    • 文件大小:253952
    • 提供者:jianghuazhou
  1. http状态码知识了解

  2. 通过阅读该资源,可以了解各http状态码代表的含义,便于对测试结果进行分析,查找问题!
  3. 所属分类:专业指导

    • 发布日期:2009-05-19
    • 文件大小:2048
    • 提供者:zhaoz08
  1. 获取本地打印机状态,以及本地打印作业状态(VB源码)

  2. 微软(VB)源代码, 可以获取本地打印作业状态, 以及本地打印机工作状态.
  3. 所属分类:VB

  1. UML 自动售货机的设计_状态图描述

  2. uml中的设计部分,用状态图描述 讲述自动售货机的原理和状态转换
  3. 所属分类:专业指导

    • 发布日期:2009-05-22
    • 文件大小:366592
    • 提供者:richoboy
  1. 基于状态机的键盘程序

  2. 基于有限状态机的键盘程序并用Proteus仿真 基于有限状态机的键盘程序 基于有限状态机的键盘程序
  3. 所属分类:嵌入式

    • 发布日期:2009-05-22
    • 文件大小:39936
    • 提供者:h0610001210
  1. 如何画状态图pdf格式

  2. 此文件pdf格式,里面介绍了如何画状态图。
  3. 所属分类:专业指导

    • 发布日期:2009-05-22
    • 文件大小:27648
    • 提供者:ding418491
  1. VHDL语言状态机举例(各种状态机非常详细)

  2. 多种状态机的经典例程 夏尔型,米勒型,带同步复位的等等
  3. 所属分类:专业指导

    • 发布日期:2009-05-23
    • 文件大小:6144
    • 提供者:myqzhd1
  1. 单片机多路开关状态指示实验仿真

  2. 单片机多路开关状态指示实验仿真:程序、Proteus仿真。
  3. 所属分类:嵌入式

    • 发布日期:2009-05-23
    • 文件大小:30720
    • 提供者:hanweiwallywang
  1. VB6.0状态栏编程代码实例

  2. VB6.0状态栏编程代码实例--看实例学VB6.0--在状态栏中显示帮助信息--
  3. 所属分类:VB

    • 发布日期:2009-05-24
    • 文件大小:3072
    • 提供者:hzb1988216
  1. JAVA设计模式之行为模式 责任链模式和状态模式

  2. 击鼓传花是一种热闹而又紧张的饮酒游戏。在酒宴上宾客依次坐定位置,由一人击鼓,击鼓的地方与传花的地方是分开的,以示公正。开始击鼓时,花束就开始依次传递,鼓声一落,如果花束在某人手中,则该人就得饮酒,这是很典型的行为责任链模式。 State模式主要解决的是在开发中时常遇到的根据不同的状态需要进行不同的处理操作的问题,而这样的问题,大部分人是采用switch-case语句进行处理的,这样会造成一个问题:分支过多,而且如果加入一个新的状态就需要对原来的代码进行编译。
  3. 所属分类:其它

    • 发布日期:2009-05-28
    • 文件大小:385024
    • 提供者:szyyyp
  1. 记录状态的动态规划dp

  2. 里面有记录状态的动态规划,状态dp,,欢迎大家啊下载
  3. 所属分类:专业指导

    • 发布日期:2009-05-28
    • 文件大小:1048576
    • 提供者:zhang20072844
  1. vc++ 滚动字幕的状态栏

  2. vc++界面设计中的状态栏显示,滚动显示字幕,一般制作系统类程序时显示单位网址或当前时间,效果很好。
  3. 所属分类:C++

    • 发布日期:2009-05-31
    • 文件大小:3145728
    • 提供者:chenfei820715
« 12 3 4 5 6 7 8 9 10 ... 50 »