您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 电子琴设计(VHDL)

  2. 本例通过VHDL实现了电子琴功能,并附有仿真波形图!希望大家共同进步
  3. 所属分类:嵌入式

    • 发布日期:2009-05-28
    • 文件大小:109568
    • 提供者:csuhuangzhixin
  1. eda电子琴设计原理与框图

  2. 巩固和运用所学课程,理论联系实际,通过对一个简易的八音符电子琴的设计,进一步加深对数字电路应用技术方面的了解与认识,进一步熟悉数字电路系统设计、制作的方法和步骤。巩固所学课堂知识,深入学习EDA技术,用VHDL语言去控制将会使我们对本专业知识可以更好地掌握
  3. 所属分类:专业指导

    • 发布日期:2009-06-29
    • 文件大小:162
    • 提供者:xiongman
  1. 基于fpga的电子琴设计vhdl描述

  2. 基于fpga的电子琴设计vhdl描述 可按键发音 也可播放音乐
  3. 所属分类:硬件开发

    • 发布日期:2009-07-11
    • 文件大小:581632
    • 提供者:yuelang20063735
  1. 基于VHDL语言的电子琴设计

  2. 基于VHDL语言基于基于VHDL语言的电子琴设计VHDL语言的电子琴设计的电子琴设计
  3. 所属分类:专业指导

    • 发布日期:2009-10-19
    • 文件大小:211968
    • 提供者:s06811152
  1. 硬件电子琴电路设计EDA设计报告

  2. 该设计使用vhdl语言,包含了具体的实验步骤及其完整的代码。
  3. 所属分类:专业指导

  1. 基于FPGA设计的电子琴,VHDL语言和VB开发

  2. 基于FPGA设计的电子琴,VHDL语言和VB开发
  3. 所属分类:VB

    • 发布日期:2010-06-08
    • 文件大小:1048576
    • 提供者:skysonya_shisy
  1. VHDL的电子琴设计

  2. VHDL的电子琴设计 挺经典的 但不是很复杂 适合初学者
  3. 所属分类:专业指导

    • 发布日期:2010-07-12
    • 文件大小:109568
    • 提供者:lrtofo
  1. VHDL 简易电子琴设计

  2. VHDL实现电子琴设计 简单易懂 可以自动播放,也可按键输入
  3. 所属分类:专业指导

    • 发布日期:2010-10-12
    • 文件大小:109568
    • 提供者:QWE7412212
  1. 基于FPGA的电子琴动态录音与回放系统的设计

  2. 大多数的电子琴设计都有弹奏和播放功能,但能自动对弹奏的乐曲进行动态录音并可改变回放快慢的设计却很少,而该设计采用VHDL语言有限状态机的设计方法对ROM/RAM控制电路进行编程,基于Quartus 6.0开发平台仿真编译,下载到FPGA芯片(CycloneII EP2C8Q208)中测试,准确地实现了电子琴动态录音与回放并快慢可调功能。实验表明采用FPGA实现音乐存储、动态录音与回放演奏系统是可行的,为实现音乐存储与播放展示了良好的应用前景,也为各类多媒体大容量语音芯片系统设计提供了一种新的技
  3. 所属分类:嵌入式

    • 发布日期:2010-11-26
    • 文件大小:610304
    • 提供者:sheng12
  1. 基于VHDL的简易八音符电子琴设计程序

  2. 本课程设计主要采用EDA技术设计一个简易的八音符电子琴,它可通过按键输入来控制音响从而演奏出已存入的乐曲。在课程设计中,系统开发平台为Windows XP,程序设计采用VHDL语言,程序运行平台为MAX+plusⅡ。
  3. 所属分类:专业指导

    • 发布日期:2010-12-01
    • 文件大小:125952
    • 提供者:xiaoli_feixie
  1. EDA电子琴设计

  2. EDA电子琴VHDL设计 EDA电子琴VHDL设计 EDA电子琴VHDL设计 EDA电子琴VHDL设计 EDA电子琴VHDL设计 EDA电子琴VHDL设计
  3. 所属分类:专业指导

    • 发布日期:2011-10-23
    • 文件大小:133120
    • 提供者:wenvslan
  1. EDA简易电子琴课程设计报告

  2. 一、设计题目:简易电子琴设计 二、设计目的 1、掌握可编程逻辑器件的基本原理及利用EDA开发工具QuartusII进行可编程逻辑器件设计的方法; 2、掌握用VHDL语言设计节拍、分频系数、音符产生电路、音符显示数据产生电路以及可控分频电路的方法; 3、熟练掌握可编程逻辑器件的原理图层次化设计方法; 4、掌握利用QuartusII进行软件仿真及对可编程逻辑器件进行硬件下载的方法。 。。。。。。
  3. 所属分类:专业指导

    • 发布日期:2011-12-21
    • 文件大小:189440
    • 提供者:b13413329
  1. 音乐发生器及简单电子琴的eda设计

  2. 本系统是采用EDA技术设计的一个简易的八音符电子琴和音乐发生器,该系统基于计算机中时钟分频器的原理,采用自顶向下的设计方法来实现,它可以通过按键输入来控制音响。系统由乐曲自动演奏模块、乐器演示模块琴/乐功能选择模块、音调发生模块和数控分频模块五个部分组成。系统实现是用硬件描述语言VHDL按模块化方式进行设计,然后进行编程、时序仿真、整合。本系统功能比较齐全,有一定的使用价值。
  3. 所属分类:其它

    • 发布日期:2012-01-10
    • 文件大小:150528
    • 提供者:megakmpers
  1. 简易电子琴设计,VHDL,占空比50%

  2. 简易电子琴的设计,具有基本的琴键功能和自动播放功能,占空比50%
  3. 所属分类:嵌入式

    • 发布日期:2012-05-30
    • 文件大小:336896
    • 提供者:zzdyxxx
  1. 电子琴的设计

  2. 简易电子琴设计 FPGA VHDL 分频器设计
  3. 所属分类:专业指导

    • 发布日期:2012-12-20
    • 文件大小:184320
    • 提供者:tang522891366
  1. 电子琴毕业设计

  2. 八音电子琴和自动演奏电路设计 vhdl 源码。。
  3. 所属分类:硬件开发

    • 发布日期:2013-05-06
    • 文件大小:449536
    • 提供者:zgx_zgx
  1. 基于VHDL的具有自动乐曲演奏功能的电子琴设计

  2. 为本人2012年下学期的EDA大作业,含 设计文档 和 源代码。所设计的系统在网上很难找到(当时我就没找到,特别是源码),二本系统又具有一定的实用性,只要在ROM中存储不同的歌曲编码,即可播放不同的乐曲。 文章详细介绍了“具有自动乐曲演奏功能的电子琴”的FPGA设计原理与方法,使用了ROM存储音符和节拍,矩阵键盘控制整个系统。 源码注释清楚,容易理解。 欢迎访问我的博客:http://blog.csdn.net/enjoyyl
  3. 所属分类:其它

    • 发布日期:2013-09-11
    • 文件大小:4194304
    • 提供者:u011335616
  1. 基于vhdl电子琴设计 代码

  2. 使用4*4矩阵键盘实现了简易电子琴功能,带有按键消抖电路 代码注释比较详细 代码使用VHDL实现 亲测可用
  3. 所属分类:硬件开发

    • 发布日期:2014-04-21
    • 文件大小:19456
    • 提供者:wxedmend
  1. vhdl语言电子琴设计

  2. 用vhdl语言设计的电子琴,包括源码及设计文档,可直接运行使用
  3. 所属分类:其它

    • 发布日期:2014-06-15
    • 文件大小:2097152
    • 提供者:u013270719
  1. 基于FPGA的VHDL语言电子琴设计

  2. 基于FPGA开发平台和QuartusII开发软件,采用VHDL语言编写的电子琴,程序里含“我心依旧”“浪人情歌”这两首歌,具有选歌暂停功能。
  3. 所属分类:硬件开发

    • 发布日期:2009-01-02
    • 文件大小:78848
    • 提供者:dantehappy
« 12 3 4 »