您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 综合电子系统设计_宽带放大器设计

  2. 综合电子系统设计_宽带放大器设计,整个系统通频带为1kHz—20MHz,最小增益0dB,最大增益80dB,增益步进1dB,60dB以下预置增益与实际增益误差小于0.2dB。不失真输出电压有效值达9.5V,输出4.5—5.5V时AGC控制范围为66dB。输出噪声电压小于0.5V峰-峰值
  3. 所属分类:专业指导

    • 发布日期:2011-03-18
    • 文件大小:553984
    • 提供者:sxy213082550
  1. jsp+access电子商务综合设计

  2. 随着2008年全球金融风暴的来袭,全球商业环境每况愈下、充满变数。中国市场经济的日趋成熟,在遇到金融风暴后,中国企业面对的竞争压力也越来越大,企业要想生存,就必须有一种高效、便于客户购物和支付的购物形式,因此,电子商务(Electronic Commerce)正是为了适应这种以全球为市场的变化而出现和发展起来的。它可以使销售商与供应商更紧密地联系起来,以更快地满足客户的需求,也可以让商家在全球范围内选择最佳供应商,在全球市场上销售产品。
  3. 所属分类:Javascript

    • 发布日期:2011-04-05
    • 文件大小:851968
    • 提供者:katandkat
  1. 微机原理与接口技术综合设计报告模板

  2. ****大学信息学院 综合性设计性实验报告 姓 名: ------- 学 号:¬¬---------- 班 级:电子信息工程 专业 09 级 2 班 实验项目名称: 实验项目性质: 设计性 实验所属课程: 微机原理与接口技术 实验室(中心): 指 导 教 师 : 实验完成时间: 2011 年 6 月 3 日 一、实验目的 ⑴了解可编程并行接口芯片8255A在PC机的工作情况。 ⑵掌握PC机键盘的工作原理。 二、实验内容及要求 内容:(1)编辑所需实验程序,并汇编、连接生成可执行文件。 (2)进
  3. 所属分类:专业指导

    • 发布日期:2011-08-29
    • 文件大小:65536
    • 提供者:qlf424308862
  1. 信息安全综合设计实验教程

  2. 电子科技大学 信息安全综合设计实验教程 包含基础实验源代码以及综合实验的设计流程
  3. 所属分类:Java

    • 发布日期:2012-06-08
    • 文件大小:1048576
    • 提供者:cherlesss
  1. 电子设计 五合一 跑马灯 电子时钟 秒表 温度计 滚动字幕

  2. 电子综合设计 五合一系统 跑马灯 电子时钟 秒表 温度计 滚动字幕
  3. 所属分类:嵌入式

    • 发布日期:2013-05-28
    • 文件大小:502784
    • 提供者:u010872307
  1. Proteus教程:电子线路设计、制版与仿真

  2. Proteus教程——电子线路设计.制版与仿真 目录 第1章 Proteus快速入门 1.1 Proteus整体功能预览 1.1.1 集成化的电路虚拟仿真软件—— Proteus 1.1.2 Proteus VSM仿真与分析 1.1.3 Proteus ARES的应用预览功能 1.2 Proteus跟我做 1.2.1 Proteus软件的安装与运行 1.2.2 一阶动态电路的设计与仿真 1.2.3 异步四位二进制计数器的设计及仿真 1.2.4 89C51与8255接口电路的调试及仿真 第2章
  3. 所属分类:其它

    • 发布日期:2013-08-11
    • 文件大小:12582912
    • 提供者:luckylucky999
  1. 电工电子综合课程设计(答案)2010.3.26

  2. 电工电子 电工电子综合课程设计(答案)2010.3.26
  3. 所属分类:专业指导

    • 发布日期:2013-09-12
    • 文件大小:17825792
    • 提供者:u012104386
  1. 电子系统设计

  2. 基于51单片机电子系统综合设计89C51单片机是一种带4K字节闪烁可编程可擦除只读存储器(FPEROM—Falsh Programmable and Erasable Read Only Memory)的低电压
  3. 所属分类:其它

    • 发布日期:2013-11-04
    • 文件大小:4194304
    • 提供者:u012718652
  1. 电子时钟设计

  2. 目录 引言…………………………………………………………..2 一、系统设计………………………………………………..2 1.1 数字计时器的原理……………………………………2 1.2 设计思路……………………………………………..2 1.3具体步骤……………………………………………...4 1.3.1 分频器的设计………………………………………4 1.3.2计数器的设计………………………………………5 1.3.3译码显示电路………………………………………6 1.3.4所需芯片及芯片管脚图…………
  3. 所属分类:专业指导

    • 发布日期:2013-12-26
    • 文件大小:252928
    • 提供者:u011285175
  1. FPGA电子秒表设计实验报告

  2. 基于FPGA的电子秒表设计实验的综合报告,本文详细讲述了电子秒表的设计流程与开发原理,以及主体程序
  3. 所属分类:硬件开发

    • 发布日期:2014-04-18
    • 文件大小:1004544
    • 提供者:u013372095
  1. 电子线路综合设计

  2. 大学的小论文《 电子线路综合设计》,doc+pdf各一份,希望对各位有用。
  3. 所属分类:嵌入式

    • 发布日期:2017-10-29
    • 文件大小:4194304
    • 提供者:qq_29166327
  1. 电子综合设计——自动售票机

  2. 设计要求包括: 1. 售卖的票共有3种,每种的单价用1个LED显示(假设面额分别为9元、8元、5元); 2. 用3个按键分别代表这3种面额的票,按下按键就表示选中那种票,每个按键可以按多次,表示欲购买该种面额票的数量;; 3. 用3个按键,分别代表投入的钱币的面值,共有3种情况:1元,2元,5元,每个按键可以按多次,表示投入此种面值钱币的数量; 4. 当投入的钱不够的时候,用1个红灯亮来表示,同时用LED显示所缺的钱数额;当投入的钱达到或者超过所需的金额时,用1个绿灯亮来表示,同时用LED显示
  3. 所属分类:专业指导

    • 发布日期:2008-12-23
    • 文件大小:2097152
    • 提供者:yizaishangmaopu
  1. 彩灯控制器 设计报告

  2. 电子系统设计 彩灯控制器现代生活中,彩灯越来越成为人们的装饰品,它不仅能美化环境,渲染气氛,还可用于娱乐场所和电子玩具中,现以该课题为例进行分析与设计。通过本次课程设计要学会将一个实际情况抽象为逻辑电路的逻辑状态的方法,掌握计数、译码、显示综合电路的设计与调试, 掌握实际输出电路不同要求的实现方法
  3. 所属分类:专业指导

    • 发布日期:2008-12-25
    • 文件大小:74752
    • 提供者:hyyj7424950
  1. 基于MCS—51单片机的电子时钟设计

  2. 为我们安排了一个涉及MCS—51单片机多种资源应用及具有综合功能的电子时钟设计。
  3. 所属分类:硬件开发

    • 发布日期:2009-04-07
    • 文件大小:309248
    • 提供者:wuhanxiaolin
  1. 单片机课程设计 自动寻迹小车设计报告含电路图及程序 南京工程学院电子系统综合设计与训练1

  2. 单片机课程设计 自动寻迹小车设计报告含电路图及程序 南京工程学院电子系统综合设计与训练1
  3. 所属分类:嵌入式

    • 发布日期:2018-10-27
    • 文件大小:4194304
    • 提供者:cds1999
  1. 单片机课程设计 自动寻迹小车设计报告含电路图及程序 南京工程学院电子系统综合设计与训练2

  2. 单片机课程设计 自动寻迹小车设计报告含电路图及程序 南京工程学院电子系统综合设计与训练1
  3. 所属分类:制造

    • 发布日期:2018-10-27
    • 文件大小:7340032
    • 提供者:cds1999
  1. 单片机课程设计 自动寻迹小车设计报告含电路图及程序 南京工程学院电子系统综合设计与训练13

  2. 单片机课程设计 自动寻迹小车设计报告含电路图及程序 南京工程学院电子系统综合设计与训练1
  3. 所属分类:嵌入式

    • 发布日期:2018-10-27
    • 文件大小:1048576
    • 提供者:cds1999
  1. 单片机课程设计 自动寻迹小车设计报告含电路图及程序 南京工程学院电子系统综合设计与训练41

  2. 单片机课程设计 自动寻迹小车设计报告含电路图及程序 南京工程学院电子系统综合设计与训练1
  3. 所属分类:嵌入式

    • 发布日期:2018-10-27
    • 文件大小:13631488
    • 提供者:cds1999
  1. 南京理工大学电子电路综合设计.pdf

  2. 利用Quartus Ⅱ软件实现DDS的设计,主要是VHDL编程实现。功能包括:正余弦信号的生成,信号的相位、频率、振幅控制。另外报告对AM调制有所研究。
  3. 所属分类:电信

    • 发布日期:2020-05-04
    • 文件大小:3145728
    • 提供者:qq_43045275
  1. 带整点报时的数字时钟-电子综合实践设计报告

  2. 摘要:命题要求设计数字钟。本设计是以 STC89C51 单片机为核心器件,应用 ISD1420,74HC573 等器件,实现命题要求。经过测试,该数字钟达到所有命题 所要求的技术指标。在此基础之上,本设计添加了语音模块,使设计更为人性化。 关键词:数字钟;闹钟;调整;整点报时。
  3. 所属分类:硬件开发

    • 发布日期:2019-12-29
    • 文件大小:735232
    • 提供者:tiny__boy
« 1 2 34 5 6 7 8 9 10 ... 50 »