您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 基于verilog电子钟程序

  2. 基于verilog电子钟程序,可以设置时间,显示,秒表,以及闹钟等功能!
  3. 所属分类:专业指导

    • 发布日期:2009-05-27
    • 文件大小:185344
    • 提供者:snowfirw
  1. 基于51单片机的简单电子钟程序

  2. 从知道什么是单片机到实现了简单的电子钟表程序竟然比我预期的段了很多时间,我自己参考了很多程序,设计出来了自己的电子钟程序,本着来自网络奉献网络的原则,把源码给大家分享。程序设计参考了郭天祥视频中讲解的一些子程序,设计风格大体遵循郭天祥讲述的风格。
  3. 所属分类:硬件开发

    • 发布日期:2009-07-13
    • 文件大小:36864
    • 提供者:prince77555344
  1. 电子钟程序 c语言版 开机显示名称,18b20 ,1302

  2. 电子钟程序,c语言版! 电子钟程序 c语言版 开机显示名称,18b20 ,1302
  3. 所属分类:C

    • 发布日期:2009-08-15
    • 文件大小:22528
    • 提供者:lvyimeng45
  1. 单片机遥控电子钟程序+电路图+论文

  2. 单片机遥控电子钟程序+电路图+论文 应用LCD及单片机
  3. 所属分类:硬件开发

    • 发布日期:2009-08-28
    • 文件大小:117760
    • 提供者:zrm317719164
  1. 基于单片机的电子钟程序设计

  2. 基于单片机设计的电子时钟程序,里面有较全面的电子程序,并有解说!
  3. 所属分类:硬件开发

    • 发布日期:2010-01-02
    • 文件大小:43008
    • 提供者:c_s_d_n_xiazai
  1. JAVA做的电子钟程序

  2. java写的电子钟源码,一看就会,需要的可以下。 applet程序,点击网页即可运行,需要java环境
  3. 所属分类:Java

    • 发布日期:2007-12-19
    • 文件大小:16384
    • 提供者:lb999255
  1. tx-1c电子钟程序+1062+ 蜂鸣器

  2. tx-1c电子钟程序+1062+ 蜂鸣器 郭天祥的开发板 完全实现市集上买的石英电子手表功能
  3. 所属分类:C

    • 发布日期:2010-04-21
    • 文件大小:15360
    • 提供者:wodejiaoao
  1. 电子钟 vhdl语言

  2. 用vhdl语言编写的电子钟程序,很实用,适合初学者,对于vhdl语言有兴趣的也是很好的参考资料
  3. 所属分类:专业指导

    • 发布日期:2010-05-06
    • 文件大小:13631488
    • 提供者:heshuiyiyang
  1. 基于MCU 51单片机的电子钟程序 C语言

  2. 能很好的实现电子钟计时分秒的功能,利用keil软件可进行建立工程文件.程序很清晰,简单。
  3. 所属分类:硬件开发

    • 发布日期:2010-05-13
    • 文件大小:5120
    • 提供者:zhaoyubushiren
  1. LCD1602电子钟程序

  2. LCD1602电子钟程序,带按键程序,当按下p1.4的时候,第一次进入设置时间。
  3. 所属分类:嵌入式

  1. 数字电子钟程序设计,汇编语言

  2. 数字电子钟程序设计本电子钟实现24小时制,8位数码管显示时分秒,可整点报时
  3. 所属分类:专业指导

    • 发布日期:2011-06-16
    • 文件大小:9216
    • 提供者:songguidabomei
  1. C51电子钟程序可调表

  2. 上电后自动走,可实现调表功能,汇编程序。程序简单易懂
  3. 所属分类:C/C++

    • 发布日期:2011-06-18
    • 文件大小:5120
    • 提供者:zynailf1016
  1. 简易电子钟程序

  2. 简易电子钟程序 51单片机 内部定时器,共阴极数码管 74hc573
  3. 所属分类:C

    • 发布日期:2011-10-07
    • 文件大小:2048
    • 提供者:china_sn0w
  1. 51单片机 电子钟程序

  2. 51单片机 电子钟程序 code
  3. 所属分类:硬件开发

    • 发布日期:2008-05-24
    • 文件大小:13312
    • 提供者:wangyibing527
  1. VHDL语言写的电子钟程序

  2. VHDL语言写的电子钟程序,可以显示日期、时分秒,具有调日期和时间功能,可以设定闹铃时间。
  3. 所属分类:其它

    • 发布日期:2011-12-14
    • 文件大小:82944
    • 提供者:xts13585341462
  1. VHDL语言写的电子钟程序

  2. VHDL语言写的电子钟程序,显示日期、时间,调日期、调时、调闹铃功能!
  3. 所属分类:电信

    • 发布日期:2011-12-14
    • 文件大小:82944
    • 提供者:xts001
  1. 89C2051+DS1302+74HC595+8位LED数码管的电子钟程序

  2. 89C2051+DS1302+74HC595+8位LED数码管的电子钟程序
  3. 所属分类:C

  1. 第八届省赛_基于单片机的电子钟程序设计与调试 程序.rar

  2. 第八届省赛_基于单片机的电子钟程序设计与调试,这是在大学期间参加蓝桥杯时的代码,文件或许有些不足,希望能够帮助到大家。
  3. 所属分类:硬件开发

    • 发布日期:2019-07-16
    • 文件大小:55296
    • 提供者:qq_42980638
  1. 第八届省赛_基于单片机的电子钟程序设计与调试.zip

  2. 蓝桥杯单片机第八届省赛_基于单片机的电子钟程序设计与调试,完整代码,工程文件,含有各种头文件,打开即用,仅供学习和参考。
  3. 所属分类:硬件开发

    • 发布日期:2020-02-24
    • 文件大小:50176
    • 提供者:FuckerGod
  1. 第8届蓝桥杯单片机初赛“电子钟”程序部分.rar

  2. 本资料为蓝桥杯单片机比赛第八届蓝桥杯单片机初赛“电子钟”程序设计源码,文件包含所涉及的keil5工程文件。
  3. 所属分类:其它

    • 发布日期:2020-08-20
    • 文件大小:47104
    • 提供者:Smokinggg
« 12 3 4 5 6 7 8 9 10 ... 25 »