您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. SQL Server2005安装性能计数器出现错误

  2. SQL Server2005安装性能计数器出现错误
  3. 所属分类:其它

    • 发布日期:2007-11-12
    • 文件大小:55296
    • 提供者:deadcloud
  1. 简单perl计数器程序

  2. 网上看到的一些perl计数器在实际运行时有些问题,修改了一下,在我们自己的环境下可以正常使用。
  3. 所属分类:Perl

    • 发布日期:2009-11-25
    • 文件大小:83968
    • 提供者:rubyker
  1. 简单的ASP计数器 Counter_v2.3

  2. 简单的ASP计数器 Counter_v2.3
  3. 所属分类:C#

    • 发布日期:2009-11-26
    • 文件大小:4096
    • 提供者:thisisxgy
  1. 各种计数器设计电路、原理、时序

  2. 1.异步二进制加法计数器 2.异步二进制减法计数器 3.D触发器组成异步计数器 4.其它进制异步计数器 5.同步计数器 6.集成计数器
  3. 所属分类:专业指导

    • 发布日期:2009-11-28
    • 文件大小:209920
    • 提供者:zgrwei
  1. 定时计数器 用中断,定时计数器编程 包括c程序和仿真图

  2. 定时计数器 用中断,定时计数器编程 包括c程序和仿真图
  3. 所属分类:嵌入式

    • 发布日期:2009-12-01
    • 文件大小:573
    • 提供者:smile1everyday
  1. 用vc++设计实现计数器功能

  2. 用vc++编程 实现计数器功能 即简单的计算功能
  3. 所属分类:C++

    • 发布日期:2009-12-04
    • 文件大小:24576
    • 提供者:gxjzcl2009
  1. 单片机课程设计 00-99计数器

  2. 单片机课程设计 00-99计数器 设计程序,电路原理图,利用AT89S51单片机来制作一个手动计数器
  3. 所属分类:硬件开发

    • 发布日期:2009-12-05
    • 文件大小:63488
    • 提供者:s641053688
  1. vhdl 计数器的设计

  2. 在数字电路中,是指在时钟脉冲的作用下,组成计数器的各个触发器的状态同时发生变化的一类计数器,即时钟输入时触发器的翻转同时发生的
  3. 所属分类:专业指导

    • 发布日期:2009-12-12
    • 文件大小:28672
    • 提供者:j88571152
  1. 计数器实验 192 390 161

  2. 计数器实验,包含192 390 161 加法,减法计数器实验,以及49,60,100进制计数器
  3. 所属分类:C/C++

    • 发布日期:2009-12-15
    • 文件大小:638976
    • 提供者:huoyuanwei
  1. 0-999计数器 单片机

  2. 用单片机的c语言编写的程序 0~999计数器,可在数码管上显示
  3. 所属分类:C

    • 发布日期:2009-12-16
    • 文件大小:122880
    • 提供者:hjl198833
  1. 网页计数器源码(asp版).rar

  2. 新势力网络网页计数器源码(asp版).rar
  3. 所属分类:C#

    • 发布日期:2009-12-19
    • 文件大小:1024
    • 提供者:xinpop
  1. 网页计数器源码(php版).rar

  2. 新势力网络网页计数器源码(php版).rar
  3. 所属分类:PHP

    • 发布日期:2009-12-19
    • 文件大小:2048
    • 提供者:xinpop
  1. 设计一元稀疏多项式简单计数器

  2. 一元稀疏多项式简单计数器 用数据结构方法编写的C++代码 可以实现多项式的加减和输入输出
  3. 所属分类:C++

    • 发布日期:2009-12-19
    • 文件大小:5120
    • 提供者:wei0iew
  1. 用集成计数器构成任意进制计数器

  2. 用集成计数器构成任意进制计数器 摘 要:通过对计数原理的分析,系统地讨论了用已有的集成计数器产品构成任意N进制计数器的方法。 关键词:计数器;清零;置数;串行进位;并行进位
  3. 所属分类:专业指导

    • 发布日期:2009-12-20
    • 文件大小:159744
    • 提供者:lhl8787
  1. 课程设计:六十进制计数器的设计

  2. 课程设计:六十进制计数器的设计 实验目的 1.进一步掌握VHDL语言中元件例化语句的使用 2.通过本实验,巩固利用VHDL语言进行EDA设计的流程
  3. 所属分类:专业指导

    • 发布日期:2009-12-20
    • 文件大小:88064
    • 提供者:lhl8787
  1. windows, Unix, SQL等各类计数器的分析文档

  2. windows, Unix, SQL等各类计数器的分析文档
  3. 所属分类:其它

    • 发布日期:2009-12-24
    • 文件大小:39936
    • 提供者:macleam
  1. 经典课程设计--电子实时时钟和99计数器

  2. 经典课程设计--电子实时时钟和99计数器,包括详细的设计思路,原理图,以及完整的C语言源代码,值得参考与学习。
  3. 所属分类:C

    • 发布日期:2009-12-27
    • 文件大小:626688
    • 提供者:kyzf
  1. 单片机16进制计数器设计

  2. 单片机16进制计数器设计 采用两位数码管 按键盘上以固定键,每按一次加1到5后清零 复位时显示00
  3. 所属分类:硬件开发

    • 发布日期:2009-12-28
    • 文件大小:722
    • 提供者:moban517
  1. 1602计数器 防盗版

  2. 一个用1602制作的计数器,十分好用,我实习时做的东西 多多指教
  3. 所属分类:专业指导

    • 发布日期:2009-12-29
    • 文件大小:836
    • 提供者:wsh_2010
  1. MCS-51单片机讲义资料(汇编)—单片机中断统和定时计数器

  2. MCS-51单片机讲义资料(汇编)—单片机中断统和定时计数器
  3. 所属分类:硬件开发

    • 发布日期:2009-12-30
    • 文件大小:195584
    • 提供者:e119_bit
« 1 2 3 4 5 6 78 9 10 11 12 ... 50 »