您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 杭电计组实验10RIJ型指令的CPU(新板子)经测可用,注释详细

  2. 杭电计组实验10RIJ型指令的CPU(新板子)经测可用,注释详细
  3. 所属分类:硬件开发

    • 发布日期:2019-06-11
    • 文件大小:17825792
    • 提供者:qq_41221341
  1. 杭电计组实验1-7(新板子).zip

  2. 杭电计组实验1-7(新板子).zip;
  3. 所属分类:硬件开发

    • 发布日期:2019-06-11
    • 文件大小:18874368
    • 提供者:qq_41221341
  1. 2017年杭电计组期末试卷.zip

  2. 2017年杭电计组期末试卷.zip2017年杭电计组期末试卷.zip2017年杭电计组期末试卷.zip
  3. 所属分类:硬件开发

    • 发布日期:2019-06-21
    • 文件大小:1048576
    • 提供者:qq_41221341
  1. 2018年杭电计组期末试卷.zip

  2. 2018年杭电计组期末试卷.zip2018年杭电计组期末试卷.zip2018年杭电计组期末试卷.zip
  3. 所属分类:硬件开发

    • 发布日期:2019-06-21
    • 文件大小:1048576
    • 提供者:qq_41221341
  1. 计组大作业-minisys单周期CPU

  2. 本资源包含了计算机组成原理课程最后的课程设计的所有相关资源、代码、PPT以及实验报告。其中包含了minisys的工程文件,打开即可看到,本课设还包含了外设的使用,也录制了相关使用外设的演示视频如蜂鸣器(音节和救护车声音)、七段数码管、数码管时钟等
  3. 所属分类:嵌入式

    • 发布日期:2020-05-14
    • 文件大小:75497472
    • 提供者:weixin_42815846
  1. 计组知识点小结.pdf

  2. 计算机组成与嵌入式系统课程的知识点小结,方便期末复习使用。知识点详述中文为主,术语的英文有标注在内。
  3. 所属分类:互联网

    • 发布日期:2020-05-11
    • 文件大小:3145728
    • 提供者:weixin_47710430
  1. 杭电计组&数据结构考研真题.zip

  2. 2001年到2016年杭电计算机专业考研计算机组成原理和数据结构专业测试真题 (不包含答案) 。
  3. 所属分类:讲义

    • 发布日期:2020-04-26
    • 文件大小:54525952
    • 提供者:qq_44849965
  1. 杭电计组课程设计ALU-REG设计实验报告.docx

  2. 含有REG_ALU的设计原码和比较规范的格式及内容,花了一下午做完的
  3. 所属分类:其它

    • 发布日期:2020-04-24
    • 文件大小:241664
    • 提供者:qq_43807385
  1. 计组实验报告-总.docx

  2. 广工计算机组成原理实验报告 实验一:基础汇编语言程序设计 、实验二:脱机运算器实验、实验三:存储器部件教学实验、实验四:组合逻辑控制器部件教学实验 、实验五:微程序控制器部件教学实验 、实验六:输入/输出接口扩展实验 、 实验七:中断实验、实验九:FPGA芯片实现非流水线的CPU系统
  3. 所属分类:嵌入式

    • 发布日期:2020-02-02
    • 文件大小:28311552
    • 提供者:m0_46140702
  1. 山东大学软件学院2019-2020计组试题.docx

  2. 山东大学 软件学院 计算机组织与结构2019-2020第一学期考题回忆版 全面 以下内容仅为考后回忆,具体题目数据不保证正确性,但是考点基本回忆完整了。 计算题: 1.浮点数,共16位,11位尾数(包含一位符号位),5位阶码(含一位符号位) 第一问:上述格式最大的负数,写出十六进制形式 第二问:给了一个十六进制形式的数,求其规格化后的十六进制和真值。好像是FFEAH
  3. 所属分类:讲义

    • 发布日期:2020-01-08
    • 文件大小:66560
    • 提供者:qq_44220394
  1. 广东工业大学计组实验一到八.doc

  2. (实验一)基础汇编语言程序设计 (实验二)脱机运算器实验 实验三)存储器部件教学实验 (实验四)组合逻辑控制器部件教学 (实验五)微程序控制器部件教学实验 (实验六)输入\输出接口扩展 实验 实验七)中断实验 FPGA芯片实现非流水线的CPU系统(综合实验)
  3. 所属分类:专业指导

    • 发布日期:2020-01-07
    • 文件大小:3145728
    • 提供者:lyp_story
  1. 计组课程设计.zip

  2. 要求: 1、掌握算术、逻辑、移位运算实验,熟悉ALU运算控制位的运用。 2、掌握存储器组织、读写方式及与总路线组成的数据通路,掌握地址总线、数据总线的工作原理。 3、掌握指令结构和指令取指、执行工作过程。 4、掌握CPU的微程序控制原理。 题目 综合运用所学计算机原理知识,设计并实现具有以下16条指令的指令集结构的模型计算 绝对很详细,给了很多资源
  3. 所属分类:专业指导

    • 发布日期:2019-12-28
    • 文件大小:9437184
    • 提供者:weixin_44001521
  1. 广工计组试卷2009.zip

  2. 里面试卷和答案都有,虽然比较久远,但是考点都有,可以快速复习。对考试挺有用的。
  3. 所属分类:讲义

    • 发布日期:2019-07-02
    • 文件大小:838656
    • 提供者:qq_39188549
  1. 计组复习.zip

  2. 包含大学计算机组成原理所有内容,浮点运算,数据的表示,指令系统,等等。
  3. 所属分类:讲义

    • 发布日期:2019-06-29
    • 文件大小:31457280
    • 提供者:qq_40433330
  1. 山东大学大二下计组实验

  2. 整机实验,包括完整的「quartus文件」「实验微代码」,2016年版本忘记具体要求了,只完成了当时的要求,即可以进行加法和乘法,没有进行其他的扩展
  3. 所属分类:其它

    • 发布日期:2019-03-04
    • 文件大小:1048576
    • 提供者:qq_37225026
  1. 计组edu定长时序文件

  2. 这个文件包含edu平台上的定长时序,可以参考参考,里面都已经完成了各种闯关所需要的,如果没有思路,可以下载来看看
  3. 所属分类:其它

    • 发布日期:2020-05-29
    • 文件大小:491520
    • 提供者:qq_36148116
  1. alu.circ 计组实验

  2. 第六个实验有点问题,但是可以通过测试,所以不用担心,发文章的原因是小获得积分下载一个东西,我会在之后把第六个实验的问题更改掉,(如果更改掉我会提示)
  3. 所属分类:C/C++

    • 发布日期:2020-05-26
    • 文件大小:671744
    • 提供者:javapa
  1. 基于logisim的8位模型计算机计组大作业

  2. 本资源包含了基于logisim软件的8位模型计算机的.circ设计源文件,一共有几个逻辑单元:包括ALU、加减器、Control单元、CPU、时序发生器、循环累加器、取指令单元、寄存器等。下载即可使用logisim打开,欢迎下载参考学习
  3. 所属分类:嵌入式

    • 发布日期:2020-05-24
    • 文件大小:17408
    • 提供者:weixin_42815846
  1. NEFU计组实验1 寄存器实验 .rar

  2. NEFU2020.6.5计算机组成原理实验logisim文件,仅供参考,参考,参考 实验目的: 一、 实验目的 理解并掌握存储器的读、写操作过程 理解存储操作中锁存脉冲的作用 掌握存储操作中时序电路的作用 实验内容: 学会对MAR寄存器的使用,并读出数据到MDR寄存器 学会存储器的写入数据操作,并能选中相应地址单元,把MDR寄存器数据写入到存储器中 掌握存储器数据端口的双向控制模式 学会设计时序电路,并利用相应的时序电路,控制存储器的读、写操作
  3. 所属分类:其它

    • 发布日期:2020-06-05
    • 文件大小:3072
    • 提供者:weixin_44382711
  1. 074-王楠-计组实验三(阵列乘法器器设计实验).doc

  2. 计算机组成及汇编原理实验报告-----阵列乘法器器设计实验 (1)掌握乘法器的原理及其设计方法。 (2)熟悉CPLD应用设计及EDA软件的使用。
  3. 所属分类:互联网

    • 发布日期:2020-06-03
    • 文件大小:2097152
    • 提供者:m0_46354572
« 1 2 3 4 5 67 8 9 10 11 ... 50 »