您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 基于单片机的简易数字频率计设计

  2. 非常简易的设计,附有程序和proteus仿真图并且全套仿真通过,数码管显示,51单片机,值得借鉴。
  3. 所属分类:硬件开发

    • 发布日期:2009-06-20
    • 文件大小:75776
    • 提供者:sher0614
  1. 基于51单片机的数字频率计

  2. 基于51单片机的数字频率计.pdf 课程设计
  3. 所属分类:硬件开发

    • 发布日期:2009-06-23
    • 文件大小:318464
    • 提供者:kevinzzj
  1. 用AT89C51制作八位数字频率计

  2. 学校数据库里找来的,有程序,是汇编的~~
  3. 所属分类:硬件开发

    • 发布日期:2009-07-03
    • 文件大小:118784
    • 提供者:hhm1016
  1. 基于单片机+FPGA的频率计

  2. 该设计是用单片机主控,PFGA实现技术功能,等精度测量等等
  3. 所属分类:硬件开发

    • 发布日期:2009-07-05
    • 文件大小:397312
    • 提供者:wwccmm
  1. C51频率相位表设计与实现

  2. 程序有详细的注释。仿真也很成功。频率测量精度0.1hz,相位0.1°,在此精度内频率和相位测量范围为0.1hz到250.0hz。由于是本人花了个晚上原创,多要点儿分不过分吧。包好。不好可以给恶评。
  3. 所属分类:嵌入式

    • 发布日期:2009-07-12
    • 文件大小:50176
    • 提供者:mqslove17
  1. 频率计的设计 (原理图+程序)

  2. 原理图+程序+文档,仿真无懈可击!希望感兴趣的同学顶起!!!!!
  3. 所属分类:嵌入式

    • 发布日期:2009-07-14
    • 文件大小:58368
    • 提供者:zzc298
  1. 课程设计的内容和要求(频率计).doc

  2. 1.单片机的引脚 2.单片机的存储空间 3.单片机的特殊寄存器 REG51.H 4.单片机定时器/计数器控制 5.定时器、计数器程序 h10 h12 6.数码管程序 h16
  3. 所属分类:硬件开发

    • 发布日期:2009-07-14
    • 文件大小:45056
    • 提供者:blueclue888
  1. 用单片机制作1M频率计程序

  2. 测量频率非常准,几乎就没有误差,制作好了是一个不错的频率计。
  3. 所属分类:硬件开发

    • 发布日期:2009-07-15
    • 文件大小:1024
    • 提供者:wang2009qiang
  1. 基于80C51的简易数字频率记10-10M

  2. 项目名称: 简易频率计设计 * ******************************************** * 功能描述:1.测量信号的频率 (0.1-2MHz) * 2.测量信号周期 * 3.刷新时间可调节 (1-9S) * 4.显示单位可选择 ********************************************** * 设计者:*** ********************************************** * 日 期 :2009-4-
  3. 所属分类:C

    • 发布日期:2009-07-16
    • 文件大小:16384
    • 提供者:dxz061yxb
  1. 数字频率计(电路方向的)

  2. 我们这几天研究数字频率计的东西,正好我这有几分,希望大家分享,学习!不是基于单片机和whdl的
  3. 所属分类:硬件开发

  1. 数字频率计的VHDL语言程序

  2. 是用VHDL语言将数字频率计的各个部分功能实现了很好嗷!!
  3. 所属分类:专业指导

    • 发布日期:2009-07-22
    • 文件大小:199680
    • 提供者:xiwangwei1
  1. 基于MCS-51的单片机应用实例

  2. 非常好的单片机实例,数字温度计,键盘扫描,密码锁,频率计
  3. 所属分类:硬件开发

    • 发布日期:2009-07-27
    • 文件大小:632832
    • 提供者:bernin
  1. vhdl语言写的数字频率计DDS

  2. 学校里实验箱上的例程,绝对精典,下载后可直接编译!
  3. 所属分类:专业指导

    • 发布日期:2009-07-27
    • 文件大小:277504
    • 提供者:laibour2008
  1. 89S52单片机制作的6位数显频率计.

  2. 89S52单片机制作的6位数显频率计.一款非常实用、学习的频率计设计资料
  3. 所属分类:硬件开发

    • 发布日期:2009-07-31
    • 文件大小:676864
    • 提供者:ydlshhong2001
  1. 直接数字频率合成技术

  2. 波形是信息和能量的载体,它无处不在. 历来的賽题中,绝大部分都直接和间接地与波形发生器有关.例如: 1,要求制作一个信号源 如第二届的”实用信号源的设计和制作”,第六届 的”射频振荡器制作”,第五届的“波形发生器”等 2,賽题中,需要用到信号源 如数据采集,无线电接收,元件参数测试仪,频率计,频率特性测试仪等. DDS技术是一种先进的波形产生技术,已经在实际中获得广泛应用,在比赛中也应该优先考虑采用.
  3. 所属分类:专业指导

    • 发布日期:2009-08-02
    • 文件大小:508928
    • 提供者:sophie1005
  1. 89C52单片机频率计程序

  2. 我也是新手 搞的不好不要骂哦 说啥好啊 就祝愿对单片机爱好的人 都成为 高手中的高手
  3. 所属分类:硬件开发

    • 发布日期:2009-08-03
    • 文件大小:19456
    • 提供者:huang1988417
  1. LCD1602等精度数字频率计

  2. LCD1602的数字频率计 很好啊,大家踊跃下载
  3. 所属分类:专业指导

    • 发布日期:2009-08-04
    • 文件大小:106496
    • 提供者:z315863321
  1. 这个频率计的频率范围在1HZ到250KHZ,误差也小很实用的,希望大家多看看

  2. 基于单片机AT98C51和计数器CD4518组成的。它主要是通过计数器的分频来 计数的,而且精度高,实用。
  3. 所属分类:硬件开发

  1. 简易数字频率计设计——时基电路

  2. 了解数字频率计测频率与测周期的基本原理;熟练掌握数字频率计的设计与调试方法及减小测量误差的方法。
  3. 所属分类:专业指导

    • 发布日期:2009-08-22
    • 文件大小:357376
    • 提供者:kk5290122
  1. 10个清晰的经典电路

  2. 2051制作的150MHz频率计; USB转串口-CH340 ; IO口实现ADC功能C51单片机实现上以太网;C51单片机最小化应用;用并口控制步进电机;面包机原理图;单片机控制的吸氧机
  3. 所属分类:硬件开发

    • 发布日期:2009-08-23
    • 文件大小:318464
    • 提供者:gtshong
« 1 2 ... 45 46 47 48 49 50»