您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 非常不错的数字电路频率计数器

  2. 这是我非常不容易才弄的频率计数器,太难了,你们可以共同分享,一起学习用
  3. 所属分类:专业指导

  1. 频率计数器(数字电路,有电路图)

  2. 这个频率计数器也是很不错的一个,用数字电路做的,在multisim中实现了,好好学习用啊
  3. 所属分类:专业指导

  1. 数字逻辑课程设计频率计数器(VHDL)

  2. 数字逻辑课程设计: 频率计数器 VHDL
  3. 所属分类:专业指导

    • 发布日期:2009-09-11
    • 文件大小:702
    • 提供者:arbel
  1. D427 频率计数器

  2. D427 频率计数器,用于将模拟信号的脉动变化计数的仪表装置。应用与计量长度等的物理量上。
  3. 所属分类:专业指导

    • 发布日期:2009-09-16
    • 文件大小:252928
    • 提供者:agan314
  1. 基于51单片机频率计数器

  2. 基于51单片机频率计数器基于51单片机频率计数器基于51单片机频率计数器
  3. 所属分类:硬件开发

    • 发布日期:2009-12-31
    • 文件大小:103424
    • 提供者:li707479693
  1. 简易频率计数器,七分频的,四位显示,multisim10运行

  2. 简易频率计数器,七分频的,四位显示,multisim10运行 因为空间比较小,所以做的有点乱,但是运行起来还是不错的。可以显示,误差很小!
  3. 所属分类:专业指导

    • 发布日期:2010-05-21
    • 文件大小:602112
    • 提供者:junyinhua
  1. 基于单片机的8位数码管显示频率计数器 原理图 仿真 全

  2. 基于单片机的8位数码管显示频率计数器 原理图 仿真 全
  3. 所属分类:硬件开发

    • 发布日期:2010-06-10
    • 文件大小:252928
    • 提供者:tbba321
  1. 用vhdl设计的数字频率计数器

  2. 可实现三位显示的频率计数器,简单实用,已综合通过
  3. 所属分类:专业指导

    • 发布日期:2010-06-22
    • 文件大小:64512
    • 提供者:iddudumegaoyuan
  1. 单片机6位数显频率计数器

  2. 单片机6位数显频率计数器,内有程序电路图分析。
  3. 所属分类:制造

    • 发布日期:2011-04-10
    • 文件大小:62464
    • 提供者:qq869240666
  1. 6位数显频率计数器(含原理图和C程序)

  2. 基于单片机的6位数显频率计数器(含详细原理图和C程序)
  3. 所属分类:C

    • 发布日期:2012-02-28
    • 文件大小:209920
    • 提供者:allansu220
  1. 8051的6位数显频率计数器

  2. 本程序基于8051单片机6位数显频率计数器,内含proteus仿真程序,非常基础的程序
  3. 所属分类:C

    • 发布日期:2013-03-27
    • 文件大小:44032
    • 提供者:maminrui371525
  1. 数字集成芯片构成的频率计数器设计

  2. 频率器设计方案,里面有关于频率计数器的设计~~过去下载的,找得挺辛苦的
  3. 所属分类:专业指导

    • 发布日期:2013-04-10
    • 文件大小:241664
    • 提供者:f347206352
  1. EDA课程设计6_8频率计数器

  2. 可以实现6—8的频率计数器,用muxplus实现,
  3. 所属分类:C/C++

    • 发布日期:2008-10-28
    • 文件大小:110592
    • 提供者:lp05310204
  1. 51单片机编写的6位数显频率计数器.rar

  2. 本压缩包内含有基于51单片机设计的6位数显频率计数器,请多多指教
  3. 所属分类:C

    • 发布日期:2015-03-28
    • 文件大小:252928
    • 提供者:u014594230
  1. EDA频率计数器教程

  2. 用暴风可以看,具体步骤都有,讲解八位十进制频率计数器设计的详细过程。很经典的哦。呵呵
  3. 所属分类:专业指导

    • 发布日期:2008-11-24
    • 文件大小:884736
    • 提供者:yao1986ming
  1. 频率计数器基本版

  2. 单片机课设,频率计数器,仿真+实验报告,可放心下载。
  3. 所属分类:其它

    • 发布日期:2019-01-12
    • 文件大小:1048576
    • 提供者:qq_29041227
  1. 频率计数器

  2. 单片机课设,频率计数器仿真,内含实验报告等,可放心下载
  3. 所属分类:其它

    • 发布日期:2019-01-12
    • 文件大小:1048576
    • 提供者:qq_29041227
  1. 频率计数器

  2. 频率计数器是以数字方式对信号参数进行精密测量的首批仪器之一。
  3. 所属分类:硬件开发

    • 发布日期:2011-12-04
    • 文件大小:64512
    • 提供者:shi286652692
  1. 多种信号发生器含调幅电路以及四位十进制频率计数器.zip

  2. 1KHz,峰峰值4V三角波信号发生器,正弦波信号发生器,锯齿波信号发生器,阶梯波信号发生,以及10KHz载波,100Hz,200Hz,300Hz调制波的调幅电路以及四位十进制频率计数器
  3. 所属分类:电信

    • 发布日期:2020-08-18
    • 文件大小:1048576
    • 提供者:qq_44524748
  1. 数字集成芯片构成的频率计数器

  2. 频率计数器是一种用数字显示的频率测量仪表,它不仅可以测量正弦信号、三角波信号、方波信号和尖脉冲信号的频率,而且还能对其他多种非电量信号的频率进行测量,例如机械振动次数,物体转动速度,明暗变化的闪光次数,单位时间里经过传送带的产品数量等,这些物理量的变化情况可以通过相应的传感器先转变成周期变化的电量信号,然后用频率计数器测量单位时间内变化次数,利用8421码编码的十进制计数器进行计数,计数的结果由译码显示电路显示出来。
  3. 所属分类:其它

    • 发布日期:2020-10-21
    • 文件大小:453632
    • 提供者:weixin_38672731
« 12 3 4 5 6 7 8 9 10 ... 50 »