您好,欢迎光临本网站![请登录][注册会员]  
文件名称: 基于Σ-ΔA/D转换器的数字抽取滤波器的设计与实现
  所属分类: 其它
  开发工具:
  文件大小: 386kb
  下载次数: 0
  上传时间: 2021-01-20
  提 供 者: weixin_********
 详细说明:各种类型的转换器的出现,大大扩大了各类仪表(装置)的使用范围,使自动控制系统具有更多的灵活性和更广的适应性。各类转换器的基本作用是将信息转换成便于传输和处理的形式,要求转换过程中信息不发生畸变、失真、延迟等,因此对转换器的线性度、输入输出阻抗匹配和隔离等有一定要求:①线性特性。要求转换器的输出信号Y与输入信号X之间具有良好的比例关系,即Y=KX+A,式中K、A为常数。②输入阻抗和输出阻抗。转换器输入阻抗和输出阻抗必须与输入端仪表和输出端仪表相匹配,才能获得高的转换精度。③隔离特性。输入电路、输出电路与电源电路在直流电位上应彼此隔离,输入、输出电路 F的接地点应分开,以提高抗干扰能力。   利用
(系统自动生成,下载前可以参看下载内容)

下载文件列表

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等多线程下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.
 输入关键字,在本站1000多万海量源码库中尽情搜索: