您好,欢迎光临本网站![请登录][注册会员]  
文件名称: 基于VHDL的数字时钟的设计
  所属分类: 嵌入式
  开发工具:
  文件大小: 287kb
  下载次数: 0
  上传时间: 2009-05-30
  提 供 者: arm****
 详细说明: 随着EDA技术的发展和应用领域的扩大与深入,EDA技术在电子信息、通信、自动控制及计算机应用领域的重要性日益突出.EDA技术就是依赖功能强大的计算机,在EDA工具软件平台上,对以硬件描述语言VHDL为系统逻辑描述手段完成的设计文件,自动地完成逻辑优化和仿真测试,直至实现既定的电子线路系统功能.本文介绍了基于VHDL硬件描述语言设计的多功能数字时钟的思路和技巧.在QuartusⅡ开发环境中编译和仿真了所设计的程序,并逐一调试验证程序的运行状况.仿真和验证的结果表明,该设计方法切实可行,该数字时钟具有一定的实际应用性.
(系统自动生成,下载前可以参看下载内容)

下载文件列表

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等多线程下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.
 相关搜索: VHDL 数字时钟
 输入关键字,在本站1000多万海量源码库中尽情搜索: