您好,欢迎光临本网站![请登录][注册会员]  
文件名称: 基于Verilog hdl的FPGA设计与工程应用_源代码
  所属分类: iOS
  开发工具:
  文件大小: 9mb
  下载次数: 0
  上传时间: 2010-09-03
  提 供 者: mixw****
 详细说明: “第2章示例”目录: 例2-1.v————————书中例2-1的Verilog源代码; 例2-2.v————————书中例2-2的Verilog源代码; 例2-3.v————————书中例2-3的Verilog源代码; 例2-4.v————————书中例2-4的Verilog源代码; 例2-5.v————————书中例2-5的Verilog源代码; “function”示例.v——书中关键字“function”示例的Verilog源代码; “task”示例.v————书中关键字“task”示例的Verilog源代码; ================================================================================= “第4章示例”目录: 8位乘法器.v——————书中8位乘法器的Verilog源代码; 74LS138.v——————书中74LS138的Verilog源代码; D触发器.v——————书中D触发器的Verilog源代码; 除法器.v——————书中除法器的Verilog源代码; 基本RS触发器.v————书中基本RS触发器的Verilog源代码; 同步RS触发器.v————书 中同步RS触发器的Verilog源代码; 数码管.v——————书中按键和数码管组成的输入输出电路的Verilog源代码; ================================================================================= “第7章示例”目录: avalon_pwm_source.zip: Nios II系统中PWM外设源代码,其中:pwm_hw目录为Verilog源代码,pwm_sw目录为底层驱动程序 pwm_hw目录中包含文件:pwm_avalon_interface.v;pwm_register_file.v;pwm_task_logic.v为PWM外设的Verilog源代码,顶层文件是pwm_avalon_interface.v pwm_sw目录中包含Nios II软件中需要应用的HAL目录和inc目录,test_software目录中含测试pwm外设用的程序 NiosII片外SRAM的Avalon-MM从设备接口.v: 为一个512K X 16bit的SRAM芯片接到Avalon总线从设备接口的Verilog源代码; SRAM_16Bits_512K.rar: Nios II系统中512K X 16bit的SRAM芯片外设源代码,其中SRAM_16Bit_512K.v为Verilog源代码;class.ptf为Avalon总线描述文件,mk_user_logic_SRAM_16Bits_512K.pl为该外设的描述文件; 第7章示例.rar: 为第7章Hello_LED的示例,使用Quartus II打开该工程。在software子目录下有相应的示例程序:Hello_LED;key;timer;UART ================================================================================== “第10章示例”目录: SOPC_PCI.rar: 为基于Nios II系统的数据采集卡设计实例,使用Quartus II打开该工程。 ================================================================================== “第11章示例”目录: USB_Emulator.rar: 为基于Nios II系统的硬件在回路仿真器设计实例,使用Quartus II打开该工程。 ================================================================================== “SPI”目录: SPI.v: 书中SPI接口外设的Verilog源代码; spi_vhdl.zip: SPI接口外设的VHDL源代码,详细说明请参考压缩文件中的readme.txt ================================================================================== “UART”目录: uart_verilog.rar: UART接口外设的Verilog源代码,其中uart.v是顶层设计文件,txmit.v是数据发送模块Verilog源代码,rcvr.v是数据接收模块Verilog源代码;rcvr_tf.v是测试数据接收的Verilog源代码,txmit_tf.v是测试数据发送的Verilog源代码; ================================================================================== “USB”目录: usb2.rar: USB接口外设的Verilog源代码,详细说明请参考压缩文件中usb_funct/doc/的usb_doc.pdf ...展开收缩
(系统自动生成,下载前可以参看下载内容)

下载文件列表

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等多线程下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.
 相关搜索: Verilog hdl FPGA 源代码
 输入关键字,在本站1000多万海量源码库中尽情搜索: