您好,欢迎光临本网站![请登录][注册会员]  

行业下载,电信下载列表 第1918页

« 1 2 ... .13 .14 .15 .16 .17 1918.19 .20 .21 .22 .23 ... 3390 »

[电信] lyapunov李雅谱诺夫指数

说明: 混沌系统的基本特点就是系统对初始值的极端敏感性,两个相差无几的初值所产生的轨迹,随着时间的推移按指数方式分离,lyapunov指数就是定量的描述这一现象的量。
<u014591138> 上传 | 大小:3kb

[电信] 西门子plc200

说明: 西门子学习手册必修课 想了解可编程控制的朋友可以下载
<shiyueren220> 上传 | 大小:7kb

[电信] 378G 中文写频软件

说明: 建伍378G中文版写频软件.免除dos下写频的繁琐。
<qq_15232249> 上传 | 大小:5mb

[电信] protues常用元器件对照表

说明: 这是protues中常用的原件中英对照,根据这些,可以快速找到相应的原件
<gsp630378743> 上传 | 大小:1kb

[电信] 汇川md280系列变频器用户手册

说明: 变频器:通常 把电压和频率固定不变的交流电变换为电压和频率可调的交流电的装置称作 ‘变频器’ 把直流电(DC 变换为交流电(AC 的装置称为逆变器
<u012532457> 上传 | 大小:4mb

[电信] 工程师应该掌握的20个模拟电路

说明: 电路分析基本电路,掌握了可以看得懂更复杂电路
<pswl0> 上传 | 大小:317kb

[电信] OV7725使用手册

说明: OV7725芯片使用详细手册,全是英文的我看不懂。
<bjpkly> 上传 | 大小:698kb

[电信] 簇优化模板

说明: 1 概述 3 1.1 簇43覆盖区域描述 3 1.2 簇43站点分布信息 4 1.3 簇43站点开通情况 5 1.4 簇43优化测试路线 5 1.5 测试工具 6 2 簇43优化测试指标 6 2.1 簇优化指标统计 6 2.2 RSRP分布图 7 2.3 SINR分布图 8 2.4 DL PDCP Throughput分布图 9 2.5 UL PDCP Throughput分布图 10 3 簇43问题地理化显示 11 3.1 低速率问题点显示 11 3.2 掉线问题点显示(下载) 12 3.3
<qq_15211799> 上传 | 大小:5mb

[电信] 多用户资源分配

说明: 基于ofdm的 认知无线电资源分配 多用户
<qiuhuizhen> 上传 | 大小:14kb

[电信] 音频信号分析与处理

说明: 信号与系统实验,使用matlab分析和处理音频信号,通过傅里叶变换将音频信号从时域转换成频域,然后再通过低通滤波器滤除噪声,再通过傅里叶逆变换还原滤波后的音频信号
<long5313828> 上传 | 大小:225kb

[电信] 电缆选型手册及使用方法

说明: 很有帮助 一直都想帮助他人,出自己该出的一份力。
<mars_999_1> 上传 | 大小:547kb

[电信] 基于FPGA 的MSK 调制解调器设计与应用

说明: architecture behav of codesdect is   signal m : integer range 0 to 3;   signal sdata : std_logic_vector(2 downto 0);   begin   cdata<= wavenum;   process(clk,clr)   begin   if clr='1' then m if datain = cdata (2) then m<=1; else m if datain =
<baidu_15200011> 上传 | 大小:275kb
« 1 2 ... .13 .14 .15 .16 .17 1918.19 .20 .21 .22 .23 ... 3390 »