您好,欢迎光临本网站![请登录][注册会员]  

行业下载,电信下载列表 第1931页

« 1 2 ... .26 .27 .28 .29 .30 1931.32 .33 .34 .35 .36 ... 3407 »

[电信] 汇川md280系列变频器用户手册

说明: 变频器:通常 把电压和频率固定不变的交流电变换为电压和频率可调的交流电的装置称作 ‘变频器’ 把直流电(DC 变换为交流电(AC 的装置称为逆变器
<u012532457> 在 上传 | 大小:4194304

[电信] 工程师应该掌握的20个模拟电路

说明: 电路分析基本电路,掌握了可以看得懂更复杂电路
<pswl0> 在 上传 | 大小:324608

[电信] OV7725使用手册

说明: OV7725芯片使用详细手册,全是英文的我看不懂。
<bjpkly> 在 上传 | 大小:714752

[电信] 簇优化模板

说明: 1 概述 3 1.1 簇43覆盖区域描述 3 1.2 簇43站点分布信息 4 1.3 簇43站点开通情况 5 1.4 簇43优化测试路线 5 1.5 测试工具 6 2 簇43优化测试指标 6 2.1 簇优化指标统计 6 2.2 RSRP分布图 7 2.3 SINR分布图 8 2.4 DL PDCP Throughput分布图 9 2.5 UL PDCP Throughput分布图 10 3 簇43问题地理化显示 11 3.1 低速率问题点显示 11 3.2 掉线问题点显示(下载) 12 3.3
<qq_15211799> 在 上传 | 大小:5242880

[电信] 多用户资源分配

说明: 基于ofdm的 认知无线电资源分配 多用户
<qiuhuizhen> 在 上传 | 大小:14336

[电信] 音频信号分析与处理

说明: 信号与系统实验,使用matlab分析和处理音频信号,通过傅里叶变换将音频信号从时域转换成频域,然后再通过低通滤波器滤除噪声,再通过傅里叶逆变换还原滤波后的音频信号
<long5313828> 在 上传 | 大小:230400

[电信] 电缆选型手册及使用方法

说明: 很有帮助 一直都想帮助他人,出自己该出的一份力。
<mars_999_1> 在 上传 | 大小:560128

[电信] 基于FPGA 的MSK 调制解调器设计与应用

说明: architecture behav of codesdect is   signal m : integer range 0 to 3;   signal sdata : std_logic_vector(2 downto 0);   begin   cdata<= wavenum;   process(clk,clr)   begin   if clr='1' then m if datain = cdata (2) then m<=1; else m if datain =
<baidu_15200011> 在 上传 | 大小:281600

[电信] 遥感图像的ENVI操作教程

说明: 出来干编程(专业软件熟练,体系架构熟练,编程精通,会一种二次开发环境);处理数据(专业软件熟练);测绘(仪器操作熟练,理论精通);转行
<duanweihu> 在 上传 | 大小:2097152

[电信] 广播电视先进视频编解码(AVS+)技术应用实施指南

说明: 广播电视先进视频编解码(AVS+)技术应用实施指南
<gygf999> 在 上传 | 大小:329728

[电信] AD9958评估板数据手册

说明: AD官方AD9958评估板数据手册,有助于使用AD9958芯片进行项目开发和制作的工程设计师和人员的工作需要
<liyao0828> 在 上传 | 大小:4194304

[电信] Robust Multi-Resolution Pedestrian Detection in Traffic Scences

说明: 偶所翻译的一篇文章的原文,中科院自动化所关于行人识别的文章,发表在了2013年的CVPR上。
<tianmochao13> 在 上传 | 大小:1048576
« 1 2 ... .26 .27 .28 .29 .30 1931.32 .33 .34 .35 .36 ... 3407 »